当前位置:文档之家› 2011年硬件工程师应聘笔试题及答案

2011年硬件工程师应聘笔试题及答案

2011年硬件工程师应聘笔试题及答案
2011年硬件工程师应聘笔试题及答案

1.什么是建立时间和保持时间?p1

2.什么是竞争与冒险现象?怎样判断?如何消除?P1

3.请画出用D触发器实现2倍分频的逻辑电路?什么是状态图?p1

4. 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?p1

5.什么是同步逻辑和异步逻辑?p1

https://www.doczj.com/doc/c312255025.html,tch与Register的区别,为什么现在多用register.行为级描述中latch如何产生的。p1

7.什么是锁相环(PLL)?锁相环的工作原理是什么?p1

8.你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?p1

9.可编程逻辑器件在现代电子设计中越来越重要,请问:

a) 你所知道的可编程逻辑器件有哪些?

b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。p1~2

10.设想你将设计完成一个电子电路方案。请简述用EDA软件(如PROTEL)进行设计(包括原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题?p2~3

11.用逻辑门和cmos电路实现ab+cd p3

12.用一个二选一mux和一个inv实现异或? p3

13.给了reg的setup,hold时间,求中间组合逻辑的d elay范围。p3

14.如何解决亚稳态p3~4

17.用mos管搭出一个二输入与非门?p4

18.集成电路前段设计流程,写出相关的工具。P4~5

19.名词IRQ,BIOS,USB,VHDL,SDR p5

21.用波形表示D触发器的功能p5

23.What is PC Chipset? p5

26.DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图p5~6

27.DSP(数字信号处理芯片)、CPU(中央处理器)、MCU(微控制器)在结构、特点、功能以及用途上的区别?p6

28.请写出[-8,7]的二进制补码,和二进制偏置码?p6~7

29.中断的概念和中断的流程p7

30.名词:SRAM,SSRAM,SDRAM p7

31.信号与系统:时域与频域关系p7

32.模拟电子电路总结p7~8

33.串行通信与并行通信异同,特点,比较。P8

34.RS232c高电平脉冲对应的TTL逻辑是负。P9

35.放大电路的频率补偿的目的是什么,有哪些方法?P9

36.什么是耐奎斯特定律,怎么由模拟信号转为数字信号?P9

37.数字电子电路总结P9~10

39.DMA原理和主要功能?p10

40、IIR,FIR滤波器的异同。p10

41、.列举你知道的几种电容:比如铝电解电容、电解电容、p10

1.写出下列常用电子工程术语的中文名称:p10

硬件电路设置看门狗定时器的目的是p11

3.中断向量表中存储的内容是p11

4.中断服务程序的开头一般进行的操作是p11

6.74L373被称为透明锁存器,“透明”一词的含义是指p11

7.Flash存储器的写寿命大约p11

8.C语言中,do{ }while()和while() {......}语法的区别是p11

C语言中,全局变量、Static局部变量和非Static局部变量的存储空间占用是有区别的p11

1、同步电路和异步电路的区别是什么?p11

上拉电阻阻值的选择原则包括p11~12

12、IC设计中同步复位与异步复位的区别p12

13、MOORE 与MEELEY状态机的特征p12

14、多时域设计中,如何处理信号跨时域p12

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围p12

16、时钟周期为T,触发器D1的寄存器到输出时间最大为T1max,最小为T1min。组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件p12

18、说说静态、动态时序模拟的优缺点p12

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing p12

1、基尔霍夫定理的内容是什么p12

2、描述反馈电路的概念,列举他们的应用p12

3、有源滤波器和无源滤波器的区别p12~13

2、平板电容公式(C=εS/4πkd)。(未知)p13

3、最基本的如三极管曲线特性。(未知)p13

4、描述反馈电路的概念,列举他们的应用p13

5、负反馈种类p13

NAND flash 和NOR flash 的区别 p14~15

名词:SRAM、SSRAM、SDRAM p15

6、FPGA和ASIC的概念,他们的区别。(未知)p15

7、什么叫做OTP片、掩膜片,两者的区别何在?p15

8、单片机上电后没有运转,首先要检查什么?p16

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

8、给出一个差分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)

LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图

33、DAC和ADC的实现各有哪些方法?(仕兰微电子)

34、A/D电路组成、工作原理。(未知)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA2003.11.06 上海笔试试题)

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

35、利用4选1实现F(x,y,z)=xz+yz’。(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。

48、D触发器和D锁存器的区别。(新太硬件面试)

49、简述latch和filp-flop的异同。(未知)

61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥)

78、sram,falsh memory,及dram的区别?(新太硬件面试)

DSP最应该懂得的问题

1.什么是建立时间和保持时间?

建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在触发器时钟沿到来前,数据信号保持不变的时间。保持时间是指在触发器时钟沿到来以后,数据信号保持不变的时间。

如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现metastability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

2.什么是竞争与冒险现象?怎样判断?如何消除?

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。

产生毛刺叫冒险。

如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是接入滤波电容,二是引入选通脉冲,三是增加冗余项(只能消除逻辑冒险而不能消除功能冒险)。

3.请画出用D触发器实现2倍分频的逻辑电路?什么是状态图?

答D触发器的输出端加非门接到D端,实现二分频。

状态图是以图形方式表示输出状态转换的条件和规律。用圆圈表示各状态,圈内注明状态名和取值。用→表示状态间转移。条件可以多个

4. 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用OC/OD门来实现,由于不用OC门可能使灌电流过大,而烧坏逻辑门。同时在输出端口应加一个上拉电阻。

5.什么是同步逻辑和异步逻辑?

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。电路设计可分类为同步电路和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步。

异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。

https://www.doczj.com/doc/c312255025.html,tch与Register的区别,为什么现在多用register.行为级描述中latch如何产生的。

Latch是电平触发,Register是边沿触发,register在同一时钟边沿触发下动作,符合同步电路的设计思想,而latch则属于异步电路设计,往往会导致时序分析困难,不适当的应用latch则会大量浪费芯片资源。

7.什么是锁相环(PLL)?锁相环的工作原理是什么?

锁相环是一种反馈电路,其作用是使得电路上的时钟和某一外部时钟的相位同步。PLL通过比较外部信号的相位和由压控晶振(VCXO)的相位来实现同步的,在比较的过程中,锁相环电路会不断根据外部信号的相位来调整本地晶振的时钟相位,直到两个信号的相位同步。

在数据采集系统中,锁相环是一种非常有用的同步技术,因为通过锁相环,可以使得不同的数据采集板卡共享同一个采样时钟。因此,所有板卡上各自的本地80MHz和20MHz时基的相位都是同步的,从而采样时钟也是同步的。因为每块板卡的采样时钟都是同步的,所以都能严格地在同一时刻进行数据采集。

8.你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?

逻辑电平参见硬件研发一文档。

逻辑电平:有TTL、CMOS、LVTTL、ECL、PECL、GTL;RS232、RS422、LVDS等

TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。

9.可编程逻辑器件在现代电子设计中越来越重要,请问:

a) 你所知道的可编程逻辑器件有哪些?

(简单)PROM,PAL,GAL,PLA,(复杂)CPLD,FPGA

FPGA: Field Programmable Gate Array

CPLD:Complex Programmable Logic Device

10.设想你将设计完成一个电子电路方案。请简述用EDA软件(如PROTEL)进行设计(包括原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题?

protel项目设计流程

1 系统功能分析

硬件设计工程师与PCB设计工程师沟通,分析电路组成,熟悉电路框图;

2 原理图符号设计

(1)常用的原理图符号在元件库中查找;

(2)特殊的原理图符号在原理图库元器件编辑器中自主设计;

3 原理图设计

(1)摆放元器件;

(2)元器件导线连接;

(3)修改元器件序号和参数;

(4)进行ERC检查,并修改,直至ERC检查100%通过;

4 编制元器件封装表

(1)查找元器件资料,确定元器件封装类型;

(2)常用的元器件封装在封装库中查找;

(3)特殊的元器件封装在封装编辑器中自主设计;

5 网络表设计

(1)修改元器件的封装类型;

(2)生成网络表;

(3)在PCB编辑器中随意绘制keepout层;

(4)在PCB编辑器中导入网络表;

(5)修改网络表中的错误直至100%导入;

6 PCB设计规划

(1)在PCB编辑器中按照要求绘制Keepout层;

(2)元器件布局

(3)布局优化

7 布线规则设计

(1)信号类型区分;

(2)设置Rule各项属性;

8 布线设计

(1)单面板设计;

(2)多层板设计;

9 覆铜设计

(1)覆铜区域规划;

(2)覆铜网络选择;

(3)覆铜;

11.用逻辑门和cmos电路实现ab+cd

12.用一个二选一mux和一个inv实现异或?

13.给了reg的setup,hold时间,求中间组合逻辑的d elay范围。

Delay < period - setup - hold

14.如何解决亚稳态

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

解决方法:

1 降低系统时钟

2 用反应更快的FF

3 引入同步机制,防止亚稳态传播

4 改善时钟质量,用边沿变化快速的时钟信号

关键是器件使用比较好的工艺和时钟周期的裕量要大

17.用mos管搭出一个二输入与非门?

Mos反向器二输入与非门

二输入或非门三输入与非门

18.集成电路前段设计流程,写出相关的工具。

1. 设计输入0 k' P8 q; h2 ~

1) 设计的行为或结构描述。2 g) i4 f/ Z( j3 p: T/ y$ i8 s, N

2) 典型文本输入工具有UltraEdit-32和Editplus.exe.。' M$ |" i# @ _0 H

3) 典型图形化输入工具-Mentor的Renoir。

4) 我认为UltraEdit-32最佳。8 S$ _) P$ V- c3 I

2. 代码调试

1) 对设计输入的文件做代码调试,语法检查。

2) 典型工具为Debussy。1 t% G1 |4 _+ p9 h6 {1 Y6 ]

3. 前仿真; l. w6 I: d, M4 y

1) 功能仿真) y2) 验证逻辑模型(没有使用时间延迟)。3) 典型工具有Mentor公司的ModelSim、Synopsys公司的VCS 和VSS、Aldec公司的Active、Cadense公司的NC。4) 我认为做功能仿真Synopsys公司的VCS和VSS速度最快,并且调试器最好用,Mentor公司的ModelSim对于读写文件速度最快,波形窗口比较好用。

4. 综合

1) 把设计翻译成原始的目标工艺2) 最优化3) 合适的面积要求和性能要求4) 典型工具有Mentor公司的LeonardoSpectrum、Synopsys公司的DC、Synplicity公司的Synplify。5) 推荐初学者使用Mentor公司的LeonardoSpectrum,由于它在只作简单约束综合后的速度和面积最优,如果你对综合工具比较了解,可以使用Synplicity公司的Synplify。

5. 布局和布线% R+ J8 ]3 l- j7 D) c' e% z R& f- J

1) 映射设计到目标工艺里指定位置2) 指定的布线资源应被使用3) 由于PLD市场目前只剩下Altera,Xilinx,Lattice,Actel,QuickLogic,Atmel六家公司,其中前5家为专业PLD公司,并且前3家几乎占有了90%的市场份额,而我们一般使用Altera,Xilinx公司的PLD居多,所以典型布局和布线的工具为Altera公司的Quartus II和Maxplus II、Xilinx 公司的ISE和Foudation。E4) Maxplus II和Foudation分别为Altera公司和Xilinx公司的第一代产品,所以布局布线一般使用Quartus II和ISE。

6. 后仿真3 d, c$ {: J( Z) [

1) 时序仿真2) 验证设计一旦编程或配置将能在目标工艺里工作(使用时间延迟)。3) 所用工具同前仿真所用软件。7. 时序分析

一般借助布局布线工具自带的时序分析工具,也可以使用Synopsys公司的PrimeTime软件和Mentor Graphics公司的Tau timing analysis软件。

8. 验证合乎性能规范

9. 版图设计

1) 验证版版图设计。2) 在板编程和测试器件。

19.名词IRQ,BIOS,USB,VHDL,SDR

IRQ: Interrupt ReQuest

BIOS: Basic Input Output System

USB: Universal Serial Bus

VHDL: VHIC Hardware Description Language

SDR: Single Data Rate

21.用波形表示D触发器的功能

23.What is PC Chipset?

芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为北桥芯片和南桥芯片。北桥芯片提供对CPU的类型和主频、内存的类型和最大容量、ISA/PCI/AGP插槽、ECC纠错等支持。南桥芯片则提供对KBC (键盘控制器)、RTC(实时时钟控制器)、USB(通用串行总线)、Ultra DMA/33(66)EIDE数据传输方式和ACPI (高级能源管理)等的支持。其中北桥芯片起着主导性的作用,也称为主桥(Host Bridge)。

除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展,Intel的8xx系列芯片组就是这类芯片组的代表,它将一些子系统如IDE接口、音效、MODEM和USB直接接入主芯片,能够提供比PCI总线宽一倍的带宽,达到了266MB/s。

26.DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图

DSP能够对实时的运算密集型引用提供有效的支持。GPP能够有效支持这些非DSP类的控制信息密集型应用。在体系结构上,功能单元的体现上,DSP要满足快速实时的需求,除了具有GPP所有的ALU、累加器还设置了乘法单元和地址产生单元,同时设置哈佛结构即分离的程序数据总线。

结构上都采用了多指令流出技术,DSP采用VLIW结构,GPP采用Superscalar,例如PowerPC74xx。

对GPP性能衡量主要是时钟频率,由MIPS/MFLOPS/MOPS来表现。

27.DSP(数字信号处理芯片)、CPU(中央处理器)、MCU(微控制器)在结构、特点、功能以及用途上的区别?在设计原理上都是一样的,应用上各具特点,所以结构功能有所不同。

DSP为快速处理数字信号而设计,结构上数据,地址总线分开,数据的吞吐量更大。指令集的设计多考虑信号处理。不过现在,为提高微处理器MCU的性能,像ARM在设计上,总线也是分开的。

CPU主要是完成指令的处理,外围接口是独立设计的,像存储器,总线控制器是独立的,没有集成到CPU中。而MCU 多应用在嵌入式平台,外围的接口是集成在一起的。一颗芯片就能完成。

28.请写出[-8,7]的二进制补码,和二进制偏置码?

所谓原码就是二进制定点表示法,即最高位为符号位,“0”表示正,“1”表示负,其余位表示数值的大小。

反码表示法规定:正数的反码与其原码相同;负数的反码是对其原码逐位取反,但符号位除外。8位二进制反码

补码表示法规定:正数的补码与其原码相同;负数的补码是在其反码的末位加1

例如:

[+7]原= 0 0000111 B [+7]反= 0 0000111 B [+7]补= 0 0000111 B

[-7]原= 1 0000111 B [-7]反= 1 1111000 B [-7]补= 1 1111001 B

四位偏移二进制码的偏移量为1000(8H)。

[-8]补=11000 [-8]偏置码=0000(4位显示)

[7]补=0111 [7]偏置码=1111

29.中断的概念和中断的流程

中断是指计算机在执行程序的过程中,当出现异常情况或特殊请求时,计算机停止现行程序的运行,转向对这些异常情况或特殊请求的处理,处理结束后再返回现行程序的间断处,继续执行原程序。

中断的处理过程为:关中断(在此中断处理完成前,不处理其它中断)、保护现场、执行中断服务程序、恢复现场、开中断。

30.名词:SRAM,SSRAM,SDRAM

SRAM是英文Static RAM的缩写,它是一种具有静止存取功能的内存,不需要刷新电路即能保存它内部存储的数据。◎优点:速度快,不必配合内存刷新电路,可提高整体的工作效率。

◎缺点:集成度低,功耗较大,相同的容量体积较大,而且价格较高,少量用于关键性系统以提高效率。

SSRAM Synchronous Static Random Access Memory 的缩写,即同步静态随机存取存储器。

同步是指Memory工作需要步时钟,内部的命令的发送与数据的传输都以它为基准;随机是指数据不是线性依次存储,而是由指定地址进行数据读写。

对于SSRAM的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关。这一点与异步SRAM不同,异步SRAM的访问独立于时钟,数据输入和输出都由地址的变化控制。

SDRAM Synchronous Dynamic Random Access Memory,同步动态随机存取存储器,同步是指Memory工作需要步时钟,内部的命令的发送与数据的传输都以它为基准;动态是指存储阵列需要不断的刷新来保证数据不丢失;随机是指数据不是线性依次存储,而是由指定地址进行数据读写。

31.信号与系统:时域与频域关系

时域是指信号的幅度随时间变化的曲线,横轴是时间,纵轴是信号的幅度,一般的正弦波比如f(t)=sinwt就是时域曲线。频域曲线是指信号的幅度与频率的关系,函数比较复杂,可能是不连续的。

这两个时间用高等数学中的傅立叶变换进行转化,也就是时域波形函数进行傅立叶变换后就成了该信号的频域函数。

32.模拟电子电路总结

①伏安特性曲线,二极管开启电压为0.7V/0.2V,环境温度升高后,二极管正向特性曲线左移,方向特性曲线下移。

②晶体管工作在放大区的外部条件是发射结正向偏置且集电结反向偏置。

③共射特性曲线:输入特性曲线和输出特性曲线。Uce增大时,曲线右移。

截止区、放大区、饱和区。

④结型场效应管U GS(off)和绝缘栅型场效应管U GS(th)。

夹断区、恒流区、可变电阻区。

⑤静态工作点设置为保证:一、放大不失真二、能够放大。

两种共射放大电路:直接耦合、阻容耦合。

放大电路分析方法:直流通路求静态工作点,交流通路求动态参数。截止失真,饱和失真。等效电路。

Re直流负反馈。晶体管单管三种接法:共射、共基、共集。

共射:既放大电流又放大电压。输入电阻居中,输出电阻较大,频带窄。多用于低频放大电路。

共基:只放大电压不放大电流。输入电阻小,电压放大和输出电阻与共射相当。频率特性最好。

共集:只放大电流不放大电压。输入电阻最大,输出电阻最小,具有电压跟随特性。用于放大电路的输入级和输出级。场效应管;

基本共源放大电路、自给偏压电路、分压式偏置电路。

多级电路耦合方式:

直接耦合:良好的低频特性,可放大变化缓慢的信号。

阻容耦合:各级电路静态工作点独立,电路分析、设计、调试简单。有大电容的存在不利于集成化。

零点漂移和温度漂移

抑制温漂的方法:引入直流负反馈、采用温度补偿,电路中二极管。差分放大电路。

差分放大电路中共模抑制比。

互补对称输出电路。

集成运放电路的组成:

输入级:双端输入的差分放大电路,输入电阻高,差模放大倍数大,抑制共模能力强,静态电流小。

中间级:采用共射(共源)放大电路,为提高放大倍数采用复合管放大电路,以恒流源做集电极负载。

输出级:输出电压线性范围宽、输出电阻小(带负载能力强)非线性失真小。多互补对称输出电路。

集成运放频率补偿:一、滞后补偿 1.简单电容补偿2.密勒效应补偿二、超前补偿

放大电路中反馈特性

直流反馈、交流反馈;正反馈、负反馈。

1.有无反馈的判断,是否存在反馈通路。

2.反馈极性的判断:瞬时极性法(净输入电压,净输入电流)

四种反馈组态:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。

电路中引入电压负反馈还是电流负反馈取决于负载欲得到稳定的电压还是稳定的电流。

电路中引入串联负反馈还是并联负反馈取决于输入信号源是恒压源还是恒流源。

负反馈电路分析方法:要将反馈网络作为放大电路输入端和输出端等效负载。当考虑反馈网络在输入端的负载效应时,应输出量作用为零。而考虑反馈网络输出端的负载效应时,应令输入量作用为零。对于电压反馈,输出端短路。电流反馈,回路断开。

负反馈对放大电路的影响:1.稳定放大倍数2.改变输入输出电阻3.展宽频带4.减小非线性失真。

串联负反馈增大输入电阻,并联负反馈减小输入电阻;电压负反馈减小输出电阻,电流负反馈增大输出电阻。

引入负反馈一般原则:

一、稳定静态工作点,引入直流负反馈;为改善放大电路动态性能,应引入交流负反馈。

二、根据信号源的性质决定引入串联负反馈或者并联负反馈。信号源为内阻较小电压源,为增大输入电阻,减小内阻上压降,应引入串联负反馈。信号源为内阻较大的电流源,为减小放大电路的输入电阻,使电路获得更大的输入电流,应引入并联负反馈。

三、根据负载对放大电路输出量的要求,负载需要稳定的电压信号时,引入电压负反馈。需要稳定的电流信号时,引入电流负反馈。

四、需要进行信号变换时,将电流信号转换为电压信号,引入电压并联负反馈。将电压信号转换为电流信号时,引入电流串联负反馈。

负反馈放大电路自激振荡消除方法:一、滞后补偿 1.简单电容补偿2.RC滞后补偿3.密勒效应补偿二、超前补偿。

基本运算电路

反相比例电路运算电路、T型反相比例运算电路、同相比例运算电路(电压跟随器)。

积分运算电路和微分运算电路P324-325

正弦波振荡条件品质因数Q值越大,选频效果越好。在正弦波振荡电路中,反馈信号能够取代输入信号,电路引入正反馈。二要有外加选频网络,用以确定振荡频率。

因此四个部分组成:放大电路、选频网络、正反馈网络、稳幅环节。

电压比较器

对输入信号进行鉴幅与比较的电路。在电压比较器中,集成运放不是处于开环状态就是只引入了正反馈。

单限比较器,滞回比较器,窗口比较器

33.串行通信与并行通信异同,特点,比较。

从原理来看,并行传输方式其实优于串行传输方式。ISA总线,数据总线为8位,工作频率为8.33MHz;286时代,ISA 的位宽提高到了16位,为了保持与8位的ISA兼容,工作频率仍为8.33MHz;PCI总线标准成为Pentium时代PC总线的王者,PCI位宽32。

由于并行传送方式的前提是用同一时序传播信号,用同一时序接收信号,而过分提升时钟频率将难以让数据传送的时序与时钟合拍,布线长度稍有差异,数据就会以与时钟不同的时序送达,另外,提升时钟频率还容易引起信号线间的相互干扰,导致传输错误。因此,并行方式难以实现高速化。

signal)传输技术,有效地克服了因天线效应对信号传输线路形成的干扰,以及传输线路之间的串扰。USB—5m目前的SA TA 1.0标准,数据传输率为150MBps。未来的SA TA 2.0/3.0可提升到300MBps以至600MBps。

34.RS232c高电平脉冲对应的TTL逻辑是负。

TTL电平标准逻辑1电平为5V,逻辑0电平为0V;电脑所使用的RS232c它的逻辑电平1为-3—-12V,逻辑电平0为+3V—+12V。

35.放大电路的频率补偿的目的是什么,有哪些方法?

在放大电路中,由于电抗元件(电容、电感线圈)及晶体管极间电容的存在,当输入信号信号频率过高或过低时,不但放大倍数数值会变小,而且产生超前或滞后的相移。频率补偿主要目的防止自激振荡,使电路稳定。也称相位补偿或相位校正法。具体方法:一、滞后补偿 1.简单电容补偿2.密勒效应补偿二、超前补偿。

36.什么是耐奎斯特定律,怎么由模拟信号转为数字信号?

根据奈奎斯特定律,信道的极限速率(bps)等于信道带宽的2倍(理论状态)

信道的极限速率(bps)等于信道带宽的2倍(理论状态),是对传输2进制数据而言。也就是说信号要么是高,表示0;要么是低,表示1。这时一个周期最多表示一个高,一个低。一个周期2位。

但如果有四种信号,分别表示00,01,10,11,那么一个信号就表示2位,就是可以传输4倍带宽。这就是编码方式。

如64QAM,就可以一次表示6bit。

对于理论上的无噪音线路,带宽可以到达无穷大。

但实际上都是有噪音的,噪音的大小决定了各信号之间的电平差距。也就是到底可以有多大的带宽。37.数字电子电路总结

逻辑代数三个重要的规则:代入规则、反演规则、对偶规则。后两者的主要区别在于对偶不做任何取反的操作。

晶体三极管的开关特性工作在什么区?

工作在截止区和饱和区。此过程包括了4个时间参数:延迟时间Td上升时间Tr存储时间Ts下降时间Tf

开启时间为:延迟时间+上升时间

关闭时间为:存储时间+下降时间

二极管逻辑门:与门电路和或门电路。见P26页

负载能力有灌电流和拉电流负载之分。

ECL是一种非饱和型门电路,它所含三极管只工作在截止区和临界饱和区。基区没有多余存储电荷进一步提高了逻辑开关速度。

NMOS

在P型衬底上,利用光刻、扩散等方法,制作出两个N+型区,并引出电极S和D,在源极和漏极间二氧化硅绝缘层上制作出金属栅极G。

MOS管漏极特性是漏极电压与漏源极电压之间曲线叫漏极特性曲线。转移特性是漏极电流和栅极电压间的关系叫转移特性曲线。

MOS管三个电极间的电容:栅源电容、源漏电容影响了开关速度。

NMOS逻辑门电路:与非门、或非门、与或非门。

CMOS电路即互补MOS电路

不同逻辑电平的配合:

TTL电路高电平最小值为2.4V,低电平最小值为0.8V。

ECL电路高电平为-0.8V,低电平为-1.6V。

CMOS电路电源电压为5V,阈值电压为2.5V,高电平为5V,低电平为0V,可以直接驱动TTL电路。CMOS输出功率很小,不能驱动电流大的TTL门。

逻辑电路选用时主要参数为:逻辑电平、噪声容限、工作速度、功耗。

数字逻辑电路分为组合逻辑和时序逻辑电路两类。组合逻辑电路不含记忆元件,输入和输出间没有反馈。

用基本逻辑门设计组合电路步骤:1、列真值表2、根据真值表写出逻辑函数表达式。3.、将函数化简变换。4、绘制逻

对于最简与或式一定可以用两级与非门电路实现,对于最简或与式一定可以用两级或非门电路实现。

将最简与或式两次求反再使用摩根定理就可得到与非-与非表达式。

将最简或与式两次求反再使用摩根定理就可得到或非-或非表达式。

时序逻辑电路:特征是输出不仅和当前的输入有关而且和以前的输入有关。

描述触发器的方法:

1、状态表

2、功能表

3、状态方程(特征方程)

4、波形图(时序图)5状态图:以图形方式表示输出状态转换的条件和规律。

2、时序电路划分为米里型和摩尔型两种。米里型输出信号与存储电路状态和输入变量有关。摩尔型仅取决于存储电路状态。

时序电路包括:寄存器、移位寄存器、计数器。

同步时序电路分析:激励方程、状态方程、输出方程。

39.DMA原理和主要功能?

DMA传输是存储器和外设接口间的直接数据传输。即在存储器和I/O接口间开辟的高速传输通道,从而达到CPU对I/O管理开销小、响应时间短、传输速度快等目的。DMA具有的功能,受到CPU的管理。CPU用程序查询和程序中断的方法实现。DMAC和其他I/O接口没有差别,它们都是总线上的从设备。另外。DMAC具有总线主设备的功能。

40、IIR,FIR滤波器的异同。

IIR单位响应为无限脉冲序列FIR单位响应为有限的

iir幅频特性精度很高,不是线性相位的,可以应用于对相位信息不敏感的音频信号上;

fir幅频特性精度较之于iir低,但是线性相位,就是不同频率分量的信号经过fir滤波器后他们的时间差不变。这是很好的性质。

另外有限的单位响应也有利于对数字信号的处理,便于编程,用于计算的时延也小,这对实时的信号处理很重要。

1、同步电路和异步电路的区别是什么?

异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,但它同时也用在时序电路中,此时它没有统一的时钟,状态变化的时刻是不稳定的,通常输入信号只在电路处于稳定状态时才发生变化。也就是说一个时刻允许一个输入发生变化,以避免输入信号之间造成的竞争冒险。电路的稳定需要有可靠的建立时间和持时间,待下面介绍。

同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。比如D触发器,当上升延到来时,寄存器把D端的电平传到Q输出端。

在同步电路设计中一般采用D触发器,异步电路设计中一般采用Latch。

上拉电阻阻值的选择原则包括:

1、从节约功耗及芯片的灌电流能力考虑应当足够大;电阻大,电流小。

2、从确保足够的驱动电流考虑应当足够小;电阻小,电流大。

3、对于高速电路,过大的上拉电阻可能边沿变平缓。综合考虑

以上三点,通常在1k到10k之间选取。对下拉电阻也有类似道理

OC门电路要输出“1”时才需要加上拉电阻不加根本就没有高电平

在有时我们用OC门作驱动(例如控制一个LED)灌电流工作时就可以不加上拉电阻

OC门实现“线与”运算OC门就是集电极开路,输出总之加上拉电阻能够提高驱动能力。

12、IC设计中同步复位与异步复位的区别。(南山之桥)

同步复位在时钟沿采复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。

13、MOORE 与MEELEY状态机的特征。(南山之桥)

Moore 状态机的输出仅与当前状态值有关, 且只在时钟边沿到来时才会有状态变化. Mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关, 这

14、多时域设计中,如何处理信号跨时域。(南山之桥)

不同的时钟域之间信号通信时需要进行同步处理,这样可以防止新时钟域中第一级触发器的亚稳态信号对下级逻辑造成影响,其中对于单个控制信号可以用两级同步器,如电平、边沿检测和脉冲,对多位信号可以用FIFO,双口RAM,握手信号等。

跨时域的信号要经过同步器同步,防止亚稳态传播。例如:时钟域1中的一个信号,要送到时钟域2,那么在这个信号

时钟域2的时钟。这样做是怕时钟域1中的这个信号,可能不满足时钟域2中触发器的建立保持时间,而产生亚稳态,因为它们之间没有必然关系,是异步的。这样做只能防止亚稳态传播,但不能保证采进来的数据的正确性。所以通常只同步很少位数的信号。比如控制信号,或地址。当同步的是地址时,一般该地址应采用格雷码,因为格雷码每次只变一位,相当于每次只有一个同步器在起作用,这样可以降低出错概率,象异步FIFO的设计中,比较读写地址的大小时,就是用这种方法。如果两个时钟域之间传送大量的数据,可以用异步FIFO来解决问题。

我们可以在跨越Clock Domain 时加上一个低电平使能的Lockup Latch 以确保Timing能正确无误。

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦-大唐笔试)

hold < Delay < period - setup

16、时钟周期为T,触发器D1的寄存器到输出时间最大为T1max,最小为T1min。组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。(华为)

T3setup>T+T2max,T3hold>T1min+T2min

18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)

静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些路径上的传播延时,检查信号的建立和保持时间是否满足时序要求,通过对最大路径延时和最小路径延时的分析,找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路径,且运行速度很快、占用内存较少,不仅可以对芯片设计进行全面的时序功能检查,而且还可利用时序分析的结果来优化设计,因此静态时序分析已经越来越多地被用到数字集成电路设计的验证中。

动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量,覆盖门级网表中的每一条路径。因此在动态时序分析中,无法暴露一些路径上可能存在的时序问题;

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA)

关键:将第二级信号放到最后输出一级输出,同时注意修改片选信号,保证其优先级未被修改。(关键路径就是指那些延迟大于相应周期时间的路径,消除关键路径的延迟要从消减路径中的各部分延迟入手。......采用了这样的约束之后,关键路径通常都能被消除了,那么能不能这样说,一个设计模块如果中和后没有关键路径那么此设计应该是好的吗?)

无源滤波器:这种电路主要有无源元件R、L和C组成。

有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。

无源滤波装置

该装置由电容器、电抗器,有时还包括电阻器等无源元件组成,以对某次谐波或其以上次谐波形成低阻抗通路,以达到抑制高次谐波的作用;由于SVC的调节范围要由感性区扩大到容性区,所以滤波器与动态控制的电抗器一起并联,这样既满足无功补偿、改善功率因数,又能消除高次谐波的影响。

国际上广泛使用的滤波器种类有:各阶次单调谐滤波器、双调谐滤波器、二阶宽颇带与三阶宽频带高通滤波器等。

1)单调谐滤波器:一阶单调谐滤波器的优点是滤波效果好,结构简单;缺点是电能损耗比较大,但随着品质因数

阶单调谐滤波器当品质因数在50以下时,基波损耗可减少20~50%,属节能型,滤波效果等效。三阶单调谐滤波器是损耗最小的滤波器,但组成复杂些,投资也高些,用于电弧炉系统中,2次滤波器选用三阶滤波器为好,其它次选用二阶单调谐滤波器。

2)高通(宽频带)滤波器,一般用于某次及以上次的谐波抑制。当在电弧炉等非线性负荷系统中采用时,对5次以上起滤波作用时,通过参数调整,可形成该滤波器回路对5次及以上次谐波的低阻抗通路。

有源滤波器

虽然无源滤波器具有投资少、效率高、结构简单及维护方便等优点,在现阶段广泛用于配电网中,但由于滤波器特性受系统参数影响大,只能消除特定的几次谐波,而对某些次谐波会产生放大作用,甚至谐振现象等因素,随着电力电子技术的发展,人们将滤波研究方向逐步转向有源滤波器(Active PowerFliter,缩写为APF)。

APF即利用可控的功率半导体器件向电网注入与谐波源电流幅值相等、相位相反的电流,使电源的总谐波电流为零,达到实时补偿谐波电流的目的。它与无源滤波器相比,有以下特点:

a.不仅能补偿各次谐波,还可抑制闪变,补偿无功,有一机多能的特点,在性价比上较为合理;

b.滤波特性不受系统阻抗等的影响,可消除与系统阻抗发生谐振的危险;

c.具有自适应功能,可自动跟踪补偿变化着的谐波,即具有高度可控性和快速响应性等特点

2、平板电容公式(C=εS/4πkd)。(未知)

3、最基本的如三极管曲线特性。(未知)

三极管外部各极电压和电流的关系曲线,称为三极管的特性曲线,又称伏安特性曲线。它不仅能反映三极管的质量与特性,还能用来定量地估算出三极管的某些参数,是分析和设计三极管电路的重要依据。

对于三极管的不同连接方式,有着不同的特性曲线。应用最广泛的是共发射极电路,其基本测试电路如图Z0118所示,共发射极特性曲线可以用描点法绘出,也可以由晶体管特性图示仪直接显示出来。

一、输入特性曲线

在三极管共射极连接的情况下,当集电极与发射极之间的电压U BE 维持不同的定值时,

U BE和I B之间的一簇关系曲线,称为共射极输入特性曲线,如图Z0119所示。输入特性曲线的数学表达式为:

I B=f(U BE)| U BE = 常数GS0120

由图Z0119可以看出这簇曲线,有下面几个特点:

(1)U BE = 0的一条曲线与二极管的正向特性相似。这是因为U CE = 0时,集电极与发射极短路,相当于两个二极管并联,这样I B与U CE 的关系就成了两个并联二极管的伏安特性。

(2)U CE由零开始逐渐增大时输入特性曲线右移,而且当U CE的数值增至较大时(如U CE>1V),各曲线几乎重合。这是因为U CE由零逐渐增大时,使集电结宽度逐渐增大,基区宽度相应地减小,使存贮于基区的注入载流子的数量减小,复合减小,因而I B减小。如保持I B为定值,就必须加大U BE ,故使曲线右移。当U CE 较大时(如U CE >1V),集电结所加反向电压,已足能把注入基区的非平衡载流子绝大部分都拉向集电极去,以致U CE再增加,I B 也不再明显地减小,这样,就形成了各曲线几乎重合的现象。

(3)和二极管一样,三极管也有一个门限电压Vγ,通常硅管约为0.5~0.6V,锗管约为0.1~0.2V。

二、输出特性曲线

输出特性曲线如图Z0120所示。测试电路如图Z0117。

输出特性曲线的数学表达式为:

由图还可以看出,输出特性曲线可分为三个区域:

(1)截止区:指I B=0的那条特性曲线以下的区域。在此区域里,三极管的发射结和集电结都处于反向偏置状态,三极管失去了放大作用,集电极只有微小的穿透电流IcEO。

(2)饱和区:指绿色区域。在此区域内,对应不同IB值的输出特性曲线簇几乎重合在一起。也就是说,U CE较小时,I c虽然增加,但Ic增加不大,即I B失去了对I c的控制能力。这种情况,称为三极管的饱和。饱和时,三极管的发射给和集电结都处于正向偏置状态。三极管集电极与发射极间的电压称为集一射饱和压降,用U CES表示。U CES 很小,通常中小功率硅管U CES<0.5V;三极管基极与发射极之间的电压称为基一射饱和压降,以U CES表示,硅管的U CES在0.8V左右。

OA线称为临界饱和线(绿色区域右边缘线),在此曲线上的每一点应有

|U CE| = |U BE|。它是各特性曲线急剧拐弯点的连线。在临界饱和状态下的三极管,其集电极电流称为临界集电极电流,以I cs表示;其基极电流称为临界基极电流,以I BS表示。这时I cs与I BS 的关系仍然成立。

距的水平线,Ic的变化量与IB的变量基本保持线性关系,即ΔI c=βΔI B,且ΔI c >>ΔI B ,就是说在此区域内,三极管具有电流放大作用。此外集电极电压对集电极电流的控制作用也很弱,当U CE>1 V后,即使再增加U CE,I c 几乎不再增加,此时,若I B 不变,则三极管可以看成是一个恒流源。

在放大区,三极管的发射结处于正向偏置,集电结处于反向偏置状态。

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)

电子电路中的反馈电路

反馈电路在各种电子电路中都获得普遍的应用,反馈是将放大器输出信号(电压或电流)的一部分或全部,回授到放大器输入端与输入信号进行比较(相加或相减),并用比较所得的有效输入信号去控制输出,这就是放大器的反馈过程.

凡是回授到放大器输入端的反馈信号起加强输入原输入信号的,使输入信号增加的称正反馈.反之则反.按其电路结构又分为:电流反馈电路和电压反馈电路.正反馈电路多应用在电子振荡电路上,而负反馈电路则多应用在各种高低频放大电路上p.因应用较广,所以我们在这里就负反馈电路加以论述.负反馈对放大器性能有四种影响: 1.负反馈能提高放大器增益的稳定性. 2.负反馈能使放大器的通频带展宽. 3.负反馈能减少放大器的失真. 4.负反馈能提高放大器的信噪比. 5.负反馈对放大器的输出输入电阻有影响. 图F1(暂时没有)是一种最基本的放大器电路,这个电路看上去很简单,但其实其中包含了直流电流负反馈电路和交流电压负反馈电路.图中的R1和R2为BG的直流偏置电阻,R3是放大器的负载电阻,R5是直流电流负反馈电阻,C2和R4组成的支路是交流电压负反馈支路,C3是交流旁路电容,它防止交流电流负反馈的产生. 一.直流电流负反馈电路. 晶体管BG的基极电压VB为R1和R2的分压值,BG发射极的电压VE为Ie*R5那么BG的B、E间的电压=VB-VE=VB-Ie*R5.当某种原因(如温度变化)引起BG的Ie ↑则VE↑,BG基发极的电压

=VB-VE=VB-Ie*R5↓这样使Ie↓.使直流工作点获得稳定.这个负反馈过程是由于Ie↑所引起的,所以属于电流负反馈电路.其中发射极电容C3是提供交流通路的,因为如果没有C3,放大器工作时交流信号同样因R5的存在而形成负反馈作用,使放大器的放大系数大打折扣. 二.交流电压负反馈电路交流电压负反馈支路由R4,C4组成,输出电压经过这条支路反馈回输入端.由于放大器的输出端的信号与输入信号电压在相位上是互为反相的,所以由于反馈信号的引入削弱了原输入

信号的作用.所以是电压负反馈电路.R4是控制着负反馈量的大小,C4起隔直流通交流的作用.当输入的交流信号幅值过大时,如果没有R4和C4的负反馈支路,放大器就会进入饱和或截止的状态,使输出信号出现削顶失真.由于引入了负反馈使输入交流信号幅值受到控制,所以避免了失真的产生.

论反馈电路的作用:具体的作用很难说,总之,是将输出信号再叠加到输入信号中来达到某种目的。作用就看你的需要了,有时是要让电路稳定(负反馈),例如线性放大;有时是要让电路不稳定(正反馈),例如非线性放大、振荡等。一个电路或者系统可能会有很多个反馈,直流反馈、交流反馈、电压反馈、电流反馈、正反馈、负反馈等可能同时使用。这些反馈的存在就好象日常生活中的人们获取信息一样正常。当然,以上也是相对来说

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)

NAND flash 和NOR flash 的区别

NOR和NAND是现在市场上两种主要的非易失闪存技术。

Flash的架构大致上可分为具程序执行能力的NOR架构以及储存数据的NAND和AND架构,Flash与其它新兴非挥发性技术相较,最大的优势在于其可以用一般的半导体制程生产、成本低,但是其读写速度较DRAM慢,可擦写次数也有极限

NOR的特点是芯片内执行(XIP, eXecute In Place),这样应用程序可以直接在flash闪存内运行,不必再把代码读到系统RAM中。NOR的传输效率很高,在1~4MB的小容量时具有很高的成本效益,但是很低的写入和擦除速度大大影响了它的性能。NOR的地址空间是线性访问的。被称为Code Flash。

NAND结构能提供极高的单元密度,可以达到高存储密度,并且写入和擦除的速度也很快。应用NAND的困难在于flash的管理和需要特殊的系统接口。NAND以page形式进行读写访问,512MB=1Sector,32Sector=1Block。与FAT16相似,称为SSFD(Solid-State Flash Disk)。固有数据完整性缺陷。被称为Data Flash。

性能比较

flash闪存是非易失存储器,可以对称为块的存储器单元块进行擦写和再编程。任何flash器件的写入操作只能在空或已擦除的单元内进行,所以大多数情况下,在进行写入操作之前必须先执行擦除。NAND器件执行擦除操作是十分简单的,而NOR则要求在进行擦除前先要将目标块内所有的位都写为0。

NOR与NAND的区别

flash闪存是非易失存储器,可以对称为块的存储器单元块进行擦写和再编程。任何flash器件的写入操作只能在空或已擦除的单元内进行,所以大多数情况下,在进行写入操作之前必须先执行擦除。NAND器件执行擦除操作是十分简单的,而NOR则要求在进行擦除前先要将目标块内所有的位都写为0。

由于擦除NOR器件时是以64~128KB的块进行的,执行一个写入/擦除操作的时间为5s ,与此相反,擦除NAND器件是以8~32KB的块进行的,执行相同的操作最多只需要4ms。

执行擦除时块尺寸的不同进一步拉大了NOR和NAND之间的性能差距,统计表明,对于给定的一套写入操作(尤其是更新小文件时),更多的擦除操作必须在基于NOR的单元中进行。这样,当选择存储解决方案时,设计师必须权衡以下的各项因素。

● NOR的读速度比NAND稍快一些。

● NAND的写入速度比NOR快很多。

● NAND的擦除速度远比NOR快。

● NAND的擦除单元更小,相应的擦除电路更加简单。

● NAND的实际应用方式要比NOR复杂的多。

● NOR可以直接使用,并在上面直接运行代码,而NAND需要I/O接口,因此使用时需要驱动。

接口差别

NOR flash带有SRAM接口,有足够的地址引脚来寻址,可以很容易地存取其内部的每一个字节。

NAND器件使用复杂的I/O口来串行地存取数据,各个产品或厂商的方法可能各不相同。8个引脚用来传送控制、地址和数据信息。

NAND读和写操作采用512字节的块,这一点有点像硬盘管理此类操作,很自然地,基于NAND的存储器就可以取代硬盘或其他块设备。NOR的特点是芯片内执行(XIP, eXec ute In Place),这样应用程序可以直接在flash闪存内运行,不必再把代码读到系统RAM中。

NOR的传输效率很高,在1~4MB的小容量时具有很高的成本效益,但是很低的写入和擦除速度大大影响了它的性能。

NAND结构能提供极高的单元密度,可以达到高存储密度,并且写入和擦除的速度也很快。应用NAND的困难在于flash的管理需要特殊的系统接口。

5、名词:SRAM、SSRAM、SDRAM

SRAM:静态RAM

DRAM:动态RAM

SSRAM:Synchronous Static Random Access Memory同步静态随机访问存储器。它的一种类型的SRAM。SSRAM的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关。这一点与异步SRAM不同,异步SRAM的访问独立于时钟,数据输入和输出都由地址的变化控制。

SDRAM:Synchronous DRAM同步动态随机存储器

6、FPGA和ASIC的概念,他们的区别。(未知)

答案:FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点。

7、什么叫做OTP片、掩膜片,两者的区别何在?

OTP means one time program,一次性编程

MTP means multi time program,多次性编程

OTP(One Time Program)是MCU的一种存储器类型

MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASHROM等类型。

MASKROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;

FALSHROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应

8、单片机上电后没有运转,首先要检查什么?

首先应该确认电源电压是否正常。用电压表测量接地引脚跟电源引脚之间的电压,看是否是电源电压,例如常用的5V。接下来就是检查复位引脚电压是否正常。分别测量按下复位按钮和放开复位按钮的电压值,看是否正确。

然后再检查晶振是否起振了,一般用示波器来看晶振引脚的波形,注意应该使用示波器探头的“X10”档。另一个办法是测量复位状态下的IO口电平,按住复位键不放,然后测量IO口(没接外部上拉的P0口除外)的电压,看是否是高电平,如果不是高电平,则多半是因为晶振没有起振。

另外还要注意的地方是,如果使用片内ROM的话(大部分情况下如此,现在已经很少有用外部扩ROM的了),一定要将EA引脚拉高,否则会出现程序乱跑的情况。有时用仿真器可以,而烧入片子不行,往往是因为EA引脚没拉高的缘故(当然,晶振没起振也是原因只一)。经过上面几点的检查,一般即可排除故障了。如果系统不稳定的话,有时是因为电源滤波不好导致的。在单片机的电源引脚跟地引脚之间接上一个0.1uF的电容会有所改善。如果电源没有滤波电容的话,则需要再接一个更大滤波电容,例如220uF的。遇到系统不稳定时,就可以并上电容试试(越靠近芯片越好)。

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

频率补偿目的就是减小时钟和相位差,使输入输出频率同步,以防频率变化衰减或丢失!很多放大电路里都会用到锁相环频率补偿电路

频率补偿及实现ALT="发送端的视频放大模块设计参考电路。"

以PAL制式的视频信号为例,带宽为8MHz,彩色副载波为4.43MHz,色度信号带宽1.3MHz。视频信号在双绞线传输时,不同的频率成分其衰减亦不同,在4-8MHz每百米衰减约4.1-5.8db。信号的衰减随线缆长度、信号频率的增加而增加。

只有接收端接收信号幅度基本一致时,才能收到满意的传输效果。理想的频率补偿曲线应与衰减曲线互补,才可输出满意的接收信号。频率补偿的基本思想即根据不同的衰减曲线视不同的频率成分给出不同的放大倍数,展宽通频带,从而实现均衡的视频输出。

可供选择的补偿方法很多。常用的有负反馈补偿、发射极电容补偿、电感补偿等。负反馈补偿使放大器增益下降,但改善了电路的稳定性,展宽了电路的通频带,主要方法是在发射极接负反馈电阻Re;发射极电容补偿的方法是给发射极电阻Re1并联一个小电容Ce1,Ce1的阻抗随频率的升高而下降,则Re1对高分量的负反馈作用减弱,正好提升了高频信号增益。实践中Re1取40-70Ω,Re取4-7kΩ时,Ce1取值在1,000-2,000pF;电感并联补偿主要是考虑三极管分布电容的影响。

电路中设置电感,使其与分布电容振荡在高频端,实现高频补偿。较常见的补偿电路是在三极管发射极并联RC电路,RC电路的阻抗Zc=1/ωC随着频率的升高而减少,实现放大电路增益随频率的升高而增大。一个RC电路有一个中心频率f0,如果传输距离较长,可以通过并联若干RC电路的办法实现分段补偿,参考电路如图3,各段补偿电路的中心频率由R、C决定,f0=1/2πωRC。ALT="图3:参考电路">

更方便的补偿措施是利用NE592的增益可调性能。如图4,调节RADJ使NE592提供不同的幅频特性。故只需根据实际的衰减曲线选择合适的RADJ(R、C串联),可实现理想的频率补偿效果,且无须附加电路,而这也是高频电路所期待的。实际上,在系统的发射端充分利用该特性对高频分量实现预提升,可进一步提高传输距离,参考电路见图2。

实际应用中,并非高频补偿越大越好,尤其对彩色信号,其4.43+-1MHz频率范围在黑白监视器中属于干扰信号,使图像产生网纹。因此一味提升高频增益恰恰适得其反。工程上不但要考虑高频补偿,还要考虑低频补偿、直流补偿及三极管实际放大电路中分布电容的影响

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

频率响应是指将一个以恒电压输出的音频信号与系统相连接时,音箱产生的声压随频率的变化而发生增大或衰减、相位随频率而发生变化的现象,这种声压和相位与频率的相关联的变化关系称为频率响应。也是指在振幅允许的范围内音响系统能够重放的频率范围,以及在此范围内信号的变化量称为频率响应,也叫频率特性。在额定的频率范围内,输出电压幅度的最大值与最小值之比,以分贝数(dB)来表示其不均匀度可以改变频响曲线的带宽

8、给出一个差分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

答1: 差分是放大两个电压之差的。相应的有单端。单端只放大一个电压信号。答2: 问问computer00单端运放的共模...

一般对于两级或者多级的运放才需要补偿。一般采用密勒补偿。

例如两级的全差分运放和两级的双端输入单端输出的运放,都可以采用密勒补偿,在第二级(输出级)进行补偿。区别在于:对于全差分运放,两个输出级都要进行补偿,而对于单端输出的两级运放,只要一个密勒补偿。。

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知)

差动放大电路是构成多级直接耦合放大电路的基本单元电路。利用电路在结构上的对称性,可以有效抑制由于温度变化引起晶体管参数变化造成的电路静态工作点的漂移

差分放大电路对差模输入信号有放大能力,差分放大电路对共模输入信号有抑制作用

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)

Uic=( Y++Y)/2 Uid=( Y+-Y-)

选择电阻时要考虑什么

选择电阻是需要考虑电阻的功率阻值允许加载在其两端的最大电压允许通过的最大电流等等

LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图

LC正弦波振荡器是用L、C元件组成选频网络的振荡器,一般用来产生1MHz以上的高频正弦信号。根据LC调谐回路的不同连接方式,LC正弦波振荡器又可分为变压器反馈式(或称互感耦合式)、电感三点式和电容三点式三种

33、DAC和ADC的实现各有哪些方法?(仕兰微电子)

二、模数转换器(ADC)

模数转换指的是将输入的模拟量转换为数字量输出,实现这种转换功能的电路称为模数转换器,简称ADC(Analog Digital Converter)。

ADC按工作原理的不同可分为直接ADC和间接ADC。直接ADC有并联比较型和逐次渐进型等,直接ADC的转换速度快。间接ADC的转换速度慢,如双积分型ADC。并联比较型ADC、逐次渐进型ADC和双积分型ADC各有特点,应用在不同的场合。高速且精度要求不高,可以选用并联比较型ADC;低速、精度高且抗干扰强的场合,可以选用双积分型ADC;逐次渐进型ADC兼顾了两者的优点,速度较快、精度较高、价格适中,应用较为普遍。

AD转换要经过采样、保持、量化和编码等过程。采样-保持电路对输入模拟信号进行采样并保持,量化是对采样信号进行分级,编码则将分级后的信号转换成二进制代码。对模拟信号采样时,必须满足采样定理。

一、数模转换器

常见的数-模转换电路(DAC)有多种类型:权电阻网络DAC、倒T形电阻网络DAC、权电流网络DAC等。

数模转换器将输入的二进制数字量转换成与之成正比的模拟量;模数转换器将输入的模拟电压转换成与之成正比的二进制数字量

A/D转换=模拟/数字转换,意思是模拟讯号转换为数字讯号;D/A转换=数字/模拟转换,意思是数字讯号转换为模拟讯号;ADC=模拟/数字转换器,DAC=数字/模拟转换器

34、A/D电路组成、工作原理。(未知)

ADC的组成与ADC的电路形式

ADC电路通常由两部分组成,它们是:采样、保持电路和量化、编码电路。其中量化、编码电路是最核心的部件,任何ADC转换电路都必须包含这种电路。

ADC电路的形式很多,通常可以并为两类:

间接法:它是将采样-保持的模拟信号先转换成与模拟量成正比的时间或频率,然后再把它转换位数字量。这种通常是采用时钟脉冲计数器,它又被称为计数器式。

它的工作特点是:工作速度低,转换精度高,抗干扰能力强。

直接法:通过基准电压与采样-保持信号进行比较,从而转换位数字量。

它的工作特点是:工作速度高,转换精度容易保证。

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA2003.11.06 上海笔试试题)

静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些路径上的传播延时,检查信号的建立和保持时间是否满足时序要求,通过对最大路径延时和最小路径延时的分析,找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路径,且运行速度很快、占用内存较少,不仅可以对芯片设计进行全面的时序功能检查,而且还可利用时序分析的结果来优化设计,因此静态时序分析已经越来越多地被用到数字集成电路设计的验证

动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量,覆盖门级网表中的每一条路径。因此在动态时序分析中,无法暴露一些路径上可能存在的时序问题;

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

卡诺图化简:一般是四输入,记住00 01 11 10顺序,

0 1 3 2

4 5 7 6

12 13 15 14

8 9 11 10

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

和载流子有关,P管是空穴导电,N管电子导电,电子的迁移率大于空穴,同样的电场下,N管的电流大于P管,因此要增大P管的宽长比,使之对称,这样才能使得两者上升时间下降时间相等、高低电平的噪声容限一样、充电放电的时间相等

35、利用4选1实现F(x,y,z)=xz+yz’。(未知)

x,y作为4选1的数据选择输入,四个数据输入端分别是z或者z的反相,0,1

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。

化成最小项之和的形式后根据~(~(A*B)*(~(C*D)))=AB+CD

48、D触发器和D锁存器的区别。(新太硬件面试)

缓冲器可以增加系统的负载能力,比如数据缓冲器。锁存器可以实现对信号的暂时锁存,增加系统的输出能力。49、简述latch和filp-flop的异同。(未知)

结论

-- 寄存器(register):一般是指边沿触发的触发器,概念有点模糊。

-- 锁存器(latch):电平触发。

-- 触发器(flip-flop):边沿触发

-- 在fpga中一般避免用latch,因为在FPGA中触发器资源丰富,不用白不用,latch由于是电平触发的,相对触发器来说容易产生毛刺,电路不稳定。latch的优点是完成同一个功能所需要的门较触发器要少,所以在asic中用的较多。

61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥)

非阻塞赋值:块内的赋值语句同时赋值,一般用在时序电路描述中

阻塞赋值:完成该赋值语句后才能做下一句的操作,一般用在组合逻辑描述中

78、sram,falsh memory,及dram的区别?(新太硬件面试)

sram:静态随机存储器,存取速度快,但容量小,掉电后数据会丢失,不像DRAM 需要不停的REFRESH,制造成本较高,通常用来作为快取(CACHE) 记忆体使用

flash:闪存,存取速度慢,容量大,掉电后数据不会丢失

dram:动态随机存储器,必须不断的重新的加强(REFRESHED) 电位差量,否则电位差将降低至无法有足够的能量表现每一个记忆单位处于何种状态。价格比sram便宜,但访问速度较慢,耗电量较大,常用作计算机的内存使用。

DSP最应该懂得的问题

1.5V/3.3V如何混接?

TI DSP的发展同集成电路的发展一样,新的DSP都是3.3V的,但目前还有许多外围电路是5V的,因此在DSP系统中,经常有5V和3.3V的DSP混接问题。在这些系统中,应注意:1)DSP输出给5V的电路(如D/A),无需加任何缓冲电路,可以直接连接。2)DSP输入5V的信号(如A/D),由于输入信号的电压>4V,超过了DSP的电源电压,DSP的外部信号没有保护电路,需要加缓冲,如74LVC245等,将5V信号变换成3.3V的信号。3)仿真器的JTAG口的信号也必须为3.3V,否则有可能损坏DSP。

2.为什么要片内RAM大的DSP效率高?

目前DSP发展的片内存储器RAM越来越大,要设计高效的DSP系统,就应该选择片内RAM较大的DSP。片内RAM 同片外存储器相比,有以下优点:1)片内RAM的速度较快,可以保证DSP无等待运行。2)对于C2000/C3x/C5000系列,部分片内存储器可以在一个指令周期内访问两次,使得指令可以更加高效。3)片内RAM运行稳定,不受外部的干扰影响,也不会干扰外部。4)DSP片内多总线,在访问片内RAM时,不会影响其它总线的访问,效率较高。3.为什么DSP从5V发展成3.3V?

硬件工程师面试题集(含答案-很全)

硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) 1、下面是一些基本的数字电路知识问题,请简要回答之。 (1) 什么是Setup和Hold 时间? 答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T就是建立时间通常所说的SetupTime。如不满足Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间。如果Hold Time 不够,数据同样不能被打入触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用D 触发器实现2 倍分频的逻辑电路 答:把D 触发器的输出端加非门接到D 端即可,如下图所示: (4) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC 门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC 门,应在OC 门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。 (7) 你知道那些常用逻辑电平?TTL 与COMS 电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL、CML、HSTL、SSTL 等。 一般说来,CMOS 电平比TTL 电平有着更高的噪声容限。如果不考虑速度和性能,一般TTL 与CMOS 器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常,因为有些TTL 电路需要下一级的输入阻抗作为负载才能正常工作。 (6) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、锁存器/缓冲器)

硬件工程师笔试题硬件工程师笔试题

硬件工程师面试试题 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C 上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<

硬件工程师考试试题 答案

硬件工程师考试试题答案 模拟电路 1.基尔霍夫定理的内容是什么? a.基尔霍夫电流定律:在电路的任一节点,流入、流出该节点电流的代数和为零.基尔霍 夫电压定律:在电路中的任一闭合电路,电压的代数和为0. b.公式:I1+ I2+I3+In=I OUT 2.设计一个20倍运放,说明高频运放及低频运放区别,在选用运放时注意哪几个参数? a. b. 低频运算放大器工作频率,相对频带宽度却很宽,自20 Hz至20KHz,高低频之比1000 。高频运算放大器工作频率高,几百KHz万MHz,相对于其中心频率却较窄。如果高频运算放大器用于低频电路,就会产生很大的高频噪声,所以用时注意。 c.差模放大倍数大、差模输入电阻大、共模抑制比CMMR 高;输入失调电压及其温漂、输入失调电流及其温漂小,以及噪声小。 3.画出如下B点的波开: B

4. 什么叫差模信号?什么叫共模信号?在设计电路中如何处理差模干扰及共模干扰?设计逻辑门电路及运放大器时,悬空引脚如何处理? a. 小相等、极性相反的一对信号称为差模信号。差动放大电路输入差模信号(u il =-u i2) 时,称为差模输入。两个大小相等、极性相同的一对信号称为共模信号。差动放大电路输入共模信号(u il =u i2)时,称为共模输入。在差动放大器中,有用信号以差模形式输 入,干扰信号用共模形式输入,那么干扰信号将被抑制的很小。 b. 差模干扰设计正负2条信号线紧靠,2条信号线平行,包地走线;共模干扰设计增加屏 蔽,布线远高电压大电流走线,采用稳定的电源和高品质开关电源(低噪声电源)。 c. 逻辑门电路时,悬空引脚应接高电平;运放大器悬空引脚正极端接运放输出,负端接低电平。 数字电路 5. 用逻辑门画出D 触发器,实现2倍分频的逻辑电路?( verilog HDL 实现) a. b. module divide2( clk , clk_o, reset); input clk , reset;

硬件工程师面试题集(含答案,很全).docx

硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) ---ReaLYamede 1下面是一些基本的数字电路知识问题,请简要回答之。 ⑴什么是SetUP和HOld时间? 答:SetUP/Hold Time用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(SetUP Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信 号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间通常所说的SetUPTime。如不满足SetUP Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号 上升沿到来以后,数据保持稳定不变的时间。如果Hold Time不够,数据同样不能被打入 触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会 不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出 端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒 险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用D触发器实现2倍分频的逻辑电路 答:把D触发器的输出端加非门接到D端即可,如下图所示: OIJTPUT CLK (4) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC门,应在OC门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运 作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号 使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效 能、模块性、可组合和可复用性。 ⑺你知道那些常用逻辑电平?TTL与CoMS电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL> CML、HSTL、SSTL 等。 一般说来,CMOS电平比TTL电平有着更高的噪声容限。如果不考虑速度和性能,一般TTL与CMOS器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常,因为有些

常见硬件工程师笔试题标准答案

硬件工程师笔试题 一、电路分析: 1、竞争与冒险 在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。 常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。 2、同步与异步 同步逻辑就是时钟之间有固定的因果关系。异步逻辑就是各时钟之间没有固定的因果关系。同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 异步电路不使用时钟脉冲做同步,其子系统就是使用特殊的“开始”与“完成”信号使之同步 同步就就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就就是接收方不知道发送方什么时候发送,所以在发送的信息中就要有提示接收方开始接收的信息,如开始位,结束时有停止位 3、仿真软件:Proteus 4、Setup 与Hold time Setup/hold time 就是测试芯片对输入信号与时钟信号之间的时间要求。建立时间就是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就就是建立时间-Setup time、如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间就是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 5、IC设计中同步复位与异步复位的区别 同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。 6、常用的电平标准 TTL: transistor-transistor logic gate晶体管-晶体管逻辑门 CMOS:Complementary Metal Oxide Semiconductor互补金属氧化物半导体 LVTTL(Low Voltage TTL)、LVCMOS(Low Voltage CMOS):3、3V、2、5V RS232、RS485 7、TTL电平与CMOS电平

硬件工程师的面试题

硬件工程师的面试题 1、下面是一些基本的数字电路知识问题,请简要回答之。 (1) 什么是 Setup和 Hold 时间? 答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间 (Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳 定不变的时间。输入数据信号应提前时钟上升沿 (如上升沿有效)T 时间到达芯片,这个 T就是建立时间通常所说的 SetupTime。 如不满足 Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入 触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间。如果 Hold Time 不够,数据同样不能被打入触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用 D 触发器实现 2 倍分频的逻辑电路 答: (4) 什么是"线与 "逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用 OC 门来实现( 漏极或者集电极开路 ),为了防止因灌电流过大而烧坏 OC 门, 应在 OC 门输出端接一上拉电阻 (线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别?

硬件工程师面试题

一、编制原则: 1、应聘者与空缺职位的匹配度(选择合适人选) 2、信度(突破人/时/空因素,结果一致性) 3、效度(面试结果与实际工作绩效的相关度) 4、普遍适用性(通用常规岗位,针对技能、潜力、素质及性格特点等方面) 二、硬件工程师职位考察要素及权重(满分100) (一)应届生招聘 (A类,A1:嵌入式软件工程师,A2:逻辑工程师,A3:算法工程师,A4:PCB工程师,A5:射频工程师,A6:测试工程师) 1、业务技术能力40% 2、沟通表达能力15% 3、抗压能力10% 4、主动学习能力20% 5、稳定性15% 附:A类结构化面试评分表 主要特质要点分值初试复试(一)复试(二)备注 业务能力(40)理论概念10 工具使用10 语言能力5 项目经验12 管理经验3 沟通表达能力(15)逻辑性3 条理性4 反应能力3 总结性5 抗压能力(10)原工作强度5 加班看法2 调节方法3 主动学习能力(20)自我激励2 执行力3 学习计划5 问题解决10 稳定性(15)工作周期5 离职原因5 发展规划5 合计100 岗位匹配度5

面试人 其它补充说明 (二)社会招聘 (B类,B1:嵌入式软件工程师,B2:逻辑工程师,B3:算法工程师,B4:PCB工程师,B5:射频工程师,B6:测试工程师) 1、业务技术能力60% 2、沟通表达能力10% 3、抗压能力10% 4、主动学习能力10% 5、稳定性10% 附:软件测试岗位结构化面试评分表 主要特质要点分值初试复试(一)复试(二)备注 业务能力(60)理论概念10 测试工具15 语言能力10 项目经验20 管理经验5 沟通表达能力(10)逻辑性2.5 条理性2.5 反应能力2.5 总结性2.5 抗压能力(10)原工作强度5 加班看法2 调节方法3 主动学习能力(10)问题解决2 执行力3 学习计划5 稳定性(10)工作周期5 离职原因3 发展规划2 合计100 岗位匹配度5 面试人 其它补充说明 (三)项目经理招聘 (C类)

硬件工程师笔试题附答案

一、填空题(每题5分,8题,共40分) 1.二极管的导通电压一般是0.7V 。 2.MOS管根据掺杂类型可以分为NMOS 、PMOS 。 3.晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和状态。 4.二进制数(11010010)2转换成十六进制数是D2 。 5.贴片电阻上的103代表10k。 6.输出使用OC门或OD门实现线与功能。 7.假设A传输线的特征阻抗是70欧姆,B传输线的特征阻抗是30欧姆,A传输线与B传输线相 连,那么它们之间的反射系数是0.4。(-0.4也可以是正确答案) 8.假设模拟信号的输入带宽是10Hz~1MHz,对信号进行无失真采样的最低频率是 2MHz 。 二、问答题(每题10分,6题,共60分) 1.单片机上电后没有运转,首先要检查什么?(10分) 答案:第一步,测量电源电压是否正常;第二步,测量复位引脚是否正常;第三步,测量外部晶振是否起振。2.请分别画出BUCK和BOOST电路的原理框图。(10分) BUCK电路: BOOST电路: 3.请画出SAR型(逐次逼近型)ADC的原理框图,或者描述SAR型ADC的工作原理。(10 分)

SAR型ADC包括采样保持电路(S/H)、比较器(COMP ARE)、数/模转换器(DAC)、逐次逼近寄存器(SAR REGISTER) 和逻辑控制单元(SAR L OGIC)。模拟输入电压VIN由采样保持电路采样并保持,为实现二进制搜索算法,首先由SAR L OGIC 控制N位寄存器设置在中间刻度,即令最高有效位MSB为“1”电平而其余位均为“0”电平,此时数字模拟转换器DAC输出电压VDAC为0.5VREF,其中VREF为提供给ADC的基准电压。由比较器对VIN和VDAC进行比较,若VIN>VDAC ,则比较器输出“1”电平,N位寄存器的MSB保持“1”电平;反之,若VN

硬件工程师考试试题和答案2010年

【https://www.doczj.com/doc/c312255025.html,-2010年硬件工程师考试模拟试题及答案解析】: 2010年硬件工程师考试模拟试题及答案解析 一、单选题(共计23题,每题2分,共计46分) 1、笔记本计算机目前标配的PCMCIA接口大多数支持【B】卡。 A. Type I B. Type II C. Type III D. 以上都可以 2、逆变器的作用是将整流后的直流电变为【C】。 A. 方波电压 B. 正弦波电压 C. 高频交流电 D. 低频交流电 3、AMD 公司的移动Althlon 64-M处理器是AMD公司推出的第一款【B】CPU。 A. 超低功耗 B. 64位 C. 超级流水线技术 D. 8MB缓存 4、BIOS和CMOS RAM是系统中两个完全不同的部分,即BIOS的部分信息通过【A】写入CMOS RAM。 A. SETUP程序 B. Install程序 C. Program程序

D. Debug程序 5、ICH4-M芯片的信号PME#功能是(D ) A. PCI锁信号 B. DMA请求信号 C. PCI复位信号 D. 电源管理 6、若显示屏显示"Fan error"错误信息时,应该检测计算机的(A) A. CPU风扇 B. 内存 C. 显卡 D. 计时器 7、IBM笔记本计算机进入BIOS的方式是冷开机时按(A)键。 A. F1 B. F2 C. F8 D. F10 8、Mini PCI的RST#信号在开机过程中会产生【B】的跳变。 A. 低-高-低 B. 高-低-高 C. 高-低-保持 D. 低-高-保持 9、PS/2键盘接口出现故障,需要检测的信号有【B】。

A. 电源、时钟、地址信号 B. 电源、时钟、数据信号 C. 电源、数据信号、地线 D. 地线、电源、时钟 10、LCD灯管的启动电压最低值在【C】V以上。 A. 10 B. 100 C. 1000 D. 10000 11、如果笔记本计算机的电池损坏,最好的方法是更换电池组内损坏的电池。B A. 对 B. 错 12、笔记本计算机DDRII内存的工作电压是【D】。 A. 5V B. 3.3V C. 2.5V D. 1.8V 13、若主板不能加电,首先应检测的电路是【C】。 A. 复位电路 B. 软开机电路 C. +3.3V和+5V供电电路 D. CPU供电电路

硬件工程师经典面试100 题

硬件经典面试100 题(附参考答案) 1、请列举您知道的电阻、电容、电感品牌(最好包括国内、国外品牌)。 电阻: 美国:AVX、VISHAY 威世 日本:KOA 兴亚、Kyocera 京瓷、muRata 村田、Panasonic 松下、ROHM 罗姆、susumu、TDK 台湾: LIZ 丽智、PHYCOM 飞元、RALEC 旺诠、ROYALOHM 厚生、SUPEROHM 美隆、TA-I 大毅、TMTEC 泰铭、TOKEN 德键、TYOHM 幸亚、UniOhm 厚声、VITROHM、VIKING 光颉、WALSIN 华新科、YAGEO 国巨 新加坡:ASJ 中国:FH 风华、捷比信 电容: 美国:AVX、KEMET 基美、Skywell 泽天、VISHAY 威世 英国:NOVER 诺华德国:EPCOS、WIMA 威马丹麦:JENSEN 战神 日本:ELNA 伊娜、FUJITSU 富士通、HITACHI 日立、KOA 兴亚、Kyocera 京瓷、Matsushita 松下、muRata 村田、NEC、 nichicon(蓝宝石)尼吉康、Nippon Chemi-Con(黑金刚、嘉美工)日本化工、Panasonic 松下、Raycon 威康、Rubycon(红 宝石)、SANYO 三洋、TAIYO YUDEN 太诱、TDK、TK 东信 韩国: SAMSUNG 三星、SAMWHA 三和、SAMYOUNG 三莹 台湾:CAPSUN、CAPXON(丰宾)凯普松、Chocon、Choyo、ELITE 金山、EVERCON、EYANG 宇阳、GEMCON 至美、 GSC 杰商、G-Luxon 世昕、HEC 禾伸堂、HERMEI 合美电机、JACKCON 融欣、JPCON 正邦、LELON 立隆、LTEC 辉城、 OST 奥斯特、SACON 士康、SUSCON 冠佐、TAICON 台康、TEAPO 智宝、WALSIN 华新科、YAGEO 国巨 香港:FUJICON 富之光、SAMXON 万裕中国:AiSHi 艾华科技、Chang 常州华威电子、FCON 深圳金富康、FH 广东 风华、HEC 东阳光、JIANGHAI 南通江海、JICON 吉光电子、LM 佛山利明、R.M 佛山三水日明电子、Rukycon 海丰三力、 Sancon 海门三鑫、SEACON 深圳鑫龙茂电子、SHENGDA 扬州升达、TAI-TECH 台庆、TF 南通同飞、TEAMYOUNG 天 扬、QIFA 奇发电子 电感: 美国:AEM、AVX、Coilcraft 线艺、Pulse 普思、VISHAY 威世 德国:EPCOS、WE 日本:KOA 兴亚、muRata 村田、Panasonic 松下、sumida 胜美达、TAIYO YUDEN 太诱、TDK、TOKO、TOREX 特瑞仕 台湾:CHILISIN 奇力新、https://www.doczj.com/doc/c312255025.html,yers 美磊、TAI-TECH 台庆、TOKEN 德键、VIKING 光颉、WALSIN 华新科、YAGEO 国 巨 中国:Gausstek 丰晶、GLE 格莱尔、FH 风华、CODACA 科达嘉、Sunlord 顺络、紫泰荆、肇庆英达

常见硬件工程师笔试题(标准答案)

硬件工程师笔试题 一、电路分析: 1、竞争与冒险 在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。 常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。 2、同步与异步 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步 同步就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就是接收方不知道发送方什么时候发送,所以在发送的信息中就要有提示接收方开 始接收的信息,如开始位,结束时有停止位 3、仿真软件:Proteus 4、Setup 和Hold time Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器 的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升 沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 5、IC设计中同步复位与异步复位的区别 同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系 不确定,也可能出现亚稳态。 6、常用的电平标准 TTL:transistor-transistor logic gate晶体管-晶体管逻辑门 CMOS:Complementary Metal Oxide Semiconductor互补金属氧化物半导体 LVTTL(L ow Voltage TTL)、LVCMOS(L ow Voltage CMOS):3.3V、2.5V RS232、RS485 7、TTL电平与CMOS电平 TTL电平和CMOS电平标准

硬件工程师招聘试题测试

硬件工程师岗位笔试题 姓名:学校:专业: 一、填空题(每空2分) 1、晶体三极管在工作时,有________、________和________ 三种工作状态;如果发射结和集电结均处于正向偏置,该晶体管工作在________状态。 2、在TTL门电路的一个输入端与地之间接一个10KΩ电阻,则相当于在该输入端输入电平;在CMOS门电路的输入端与电源之间接一个1KΩ电阻,相当于在该输入端输入电平。 3、一个二进制数(11010010)2转换成十六进制数是________ 。 4、在各类负反馈放大电路中,能稳定输出电压的是负反馈放大器,能提高输入阻抗的是负反馈放大器。 5、我们通常所说的三态门为________、________和________ 三种状态。 6、贴片电阻上的表示103表示电阻的阻值为而________。 7、8051系列单片机的字长是___位,其系列单片机的ALE信号作用是_________________。 8、电阻串联后阻值________,电容并联后容值________。 9、理想运算放大器的输入电阻为________,输入电流为值________。 10、三种常见的ESD模型分别为______模型、机器模型和带电器件模型。

二、选择题(每题4分) 1、电阻按照封装来分非为( ) A.贴片电阻,插件电阻 B.水泥电阻,功率电阻 C.色环电阻,标码电阻 D.插件电阻,功率电阻 2、贴片电阻的阻值为5.1K,那么上面的标号应该为( ) A.512 B.513 C.514 D.510 3、贴片电阻的封装是:( ) A.SOP8 B.SOT-89 C.TO-92 D.0805 4、电阻封装为0805,其额定功率一般为() A.1/10W B.1/8W C.1/16W

华为硬件工程师面试题

DSP、嵌入式、软件等 1、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有,也可以自己设计一个简单的数字信号处理系统,并描述其功能及用途。(仕兰微面试题目) 2、数字滤波器的分类和结构特点。(仕兰微面试题目) 3、IIR,FIR滤波器的异同。(新太硬件面题) 4、拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1)+b*δ(n) a.求h(n)的z变换; b.问该系统是否为稳定系统; c.写出FIR数字滤波器的差分方程;(未知) 5、DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图。(信威dsp软件面试题) 6、说说定点DSP和浮点DSP的定义(或者说出他们的区别)(信威dsp软件面试题) 7、说说你对循环寻址和位反序寻址的理解.(信威dsp软件面试题) 8、请写出【-8,7】的二进制补码,和二进制偏置码。用Q15表示出0.5和-0.5.(信威dsp软件面试题) 9、DSP的结构(哈佛结构);(未知) 10、嵌入式处理器类型(如ARM),操作系统种类(Vxworks,ucos,winCE,linux),操作系统方面偏CS方向了,在CS篇里面讲了;(未知) 11、有一个LDO芯片将用于对手机供电,需要你对他进行评估,你将如何设计你的测试项目? 12、某程序在一个嵌入式系统(200M CPU,50M SDRAM)中已经最优化了,换到零一个系统(300M CPU,50M SDRAM)中是否还需要优化?(Intel) 13、请简要描述HUFFMAN编码的基本原理及其基本的实现方法。(仕兰微面试题目) 14、说出OSI七层网络协议中的四层(任意四层)。(仕兰微面试题目) 15、A)(仕兰微面试题目) #i nclude void testf(int*p) { *p+=1; } main() { int *n,m[2]; n=m; m[0]=1; m[1]=8; testf(n); printf("Data value is %d ",*n); } ------------------------------ B) #i nclude void testf(int**p) {

硬件工程师面试题一

硬件一些工程师面试题 1. 硬件工程师的主要职责是什么 数字电路和模拟电路的区别。在硬件设计是应该注意什么 2. 总线是什么概念什么原理常用的总线有哪些 各种存储器的详细性能介绍、设计要点及选型. 描述反馈电路的概念,列举他们的应用。 反馈,就是在电子系统中,把输出回路中的电量输入到输入回路中去。 反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。 负反馈的优点:降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用。 电压负反馈的特点:电路的输出电压趋向于维持恒定。 电流负反馈的特点:电路的输出电流趋向于维持恒定。 3、有源滤波器和无源滤波器的区别 无源滤波器:这种电路主要有无源元件R、L和C组成 有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。 集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。 同步电路和异步电路的区别是什么 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,这有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求 将两个门电路的输出端并联以实现与逻辑的功能成为线与。 在硬件上,要用OC门来实现,同时在输出端口加一个上拉电阻。 由于不用OC门可能使灌电流过大,而烧坏逻辑门。 上拉电阻阻值的选择原则包括: 1、从节约功耗及芯片的灌电流能力考虑应当足够大;电阻大,电流小。 2、从确保足够的驱动电流考虑应当足够小;电阻小,电流大。 3、对于高速电路,过大的上拉电阻可能边沿变平缓。综合考虑 以上三点,通常在1k到10k之间选取。对下拉电阻也有类似道理 //OC门电路必须加上拉电阻,以提高输出的搞电平值。 OC门电路要输出“1”时才需要加上拉电阻不加根本就没有高电平 在有时我们用OC门作驱动(例如控制一个 LED)灌电流工作时就可以不加上拉电阻 OC门可以实现“线与”运算 OC门就是集电极开路输出 总之加上拉电阻能够提高驱动能力。 如何解决亚稳态。(飞利浦-大唐笔试) 亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

硬件工程师笔试面试题目

硬件工程师笔试题目 关键词:硬件工程师笔试题目 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反 馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<时,给出输入电压波形图,绘制两种电路的输出波形图。(未知) 16、有源滤波器和无源滤波器的原理及区别?(新太硬件) 17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、带通、高通滤波器后的信号表示方式。(未知) 18、选择电阻时要考虑什么?(东信笔试题) 19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P 管还是N管,为什么?(仕兰微电子) 20、给出多个mos管组成的电路求5个点的电压。(Infineon笔试试题) 21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述其优缺点。(仕兰微电子)

硬件工程师面试题集含答案

精品文档 硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) ---Real_Yamede 1、下面是一些基本的数字电路知识问题,请简要回答之。 (1) 什么是 Setup和Hold 时间? 答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T就是建立时间通常所说的SetupTime。如不满足Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间。如果Hold Time 不够,数据同样不能被打入触发器。(2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用 D 触发器实现 2 倍分频的逻辑电路 答:把 D 触发器的输出端加非门接到 D 端即可,如下图所示: (4) 什么是线与逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC 门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC 门,应在OC 门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。 (7) 你知道那些常用逻辑电平?TTL 与 COMS 电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL、CML、HSTL、SSTL 等。 一般说来,CMOS 电平比TTL 电平有着更高的噪声容限。如果不考虑速度和性能,一般

硬件工程师面试试题库(附参考答案)

硬件工程师面试试题库(附参考答案) 1、请列举您知道的电阻、电容、电感品牌(最好包括国内、国外品牌)。 电阻: 美国:A VX、VISHAY威世日本:KOA兴亚、Kyocera京瓷、muRata村田、Panasonic松下、ROHM罗姆、susumu、TDK 台湾: LIZ丽智、PHYCOM飞元、RALEC旺诠、ROYALOHM厚生、SUPEROHM美隆、TA-I大毅、TMTEC泰铭、TOKEN 德键、TYOHM幸亚、UniOhm厚声、VITROHM、VIKING光颉、WALSIN华新科、YAGEO国巨新加坡:ASJ 中国:FH风华、捷比信 电容: 美国:A VX、KEMET基美、Skywell泽天、VISHAY威世英国:NOVER诺华德国:EPCOS、WIMA威马丹麦:JENSEN 战神日本:ELNA伊娜、FUJITSU富士通、HITACHI日立、KOA兴亚、Kyocera京瓷、Matsushita松下、muRata村田、NEC、nichicon(蓝宝石)尼吉康、Nippon Chemi-Con(黑金刚、嘉美工)日本化工、Panasonic松下、Raycon威康、Rubycon(红宝石)、SANYO三洋、TAIYO YUDEN太诱、TDK、TK东信韩国:SAMSUNG三星、SAMWHA三和、SAMYOUNG三莹台湾:CAPSUN、CAPXON(丰宾)凯普松、Chocon、Choyo、ELITE金山、EVERCON、EYANG宇阳、GEMCON至美、GSC杰商、G-Luxon世昕、HEC禾伸堂、HERMEI合美电机、JACKCON融欣、JPCON正邦、LELON立隆、LTEC辉城、OST奥斯特、SACON 士康、SUSCON 冠佐、TAICON台康、TEAPO智宝、WALSIN华新科、YAGEO国巨香港:FUJICON富之光、SAMXON万裕中国:AiSHi艾华科技、Chang常州华威电子、FCON深圳金富康、FH广东风华、HEC东阳光、JIANGHAI南通江海、JICON吉光电子、LM佛山利明、R.M佛山三水日明电子、Rukycon海丰三力、Sancon海门三鑫、SEACON深圳鑫龙茂电子、SHENGDA扬州升达、TAI-TECH台庆、TF南通同飞、TEAMYOUNG天扬、QIFA奇发电子 电感: 美国:AEM、A VX、Coilcraft线艺、Pulse普思、VISHAY威世德国:EPCOS、WE 日本:KOA兴亚、muRata村田、Panasonic松下、sumida胜美达、TAIYO YUDEN太诱、TDK、TOKO、TOREX特瑞仕台湾:CHILISIN奇力新、https://www.doczj.com/doc/c312255025.html,yers 美磊、TAI-TECH台庆、TOKEN德键、VIKING光颉、WALSIN华新科、YAGEO国巨中国:Gausstek丰晶、GLE格莱尔、FH风华、CODACA科达嘉、Sunlord顺络、紫泰荆、肇庆英达 2、请解释电阻、电容、电感封装的含义:0402、060 3、0805。 表示的是尺寸参数。 0402:40*20mil;0603:60*30mil;0805:80*50mil。 3、请说明以下字母所代表的电容的精度:J、K、M、Z。 J——±5%;K——±10%;M——±20%;Z——+80%~-20% 4、请问电阻、电容、电感的封装大小分别与什么参数有关? 电阻封装大小与电阻值、额定功率有关;电容封装大小与电容值、额定电压有关;电感封装大小与电感量、额定电流有关。 5、如何根据实际工作电压选择电容的额定电压参数? 基于成本和使用安全考虑,选择的电容额定电压应该是实际工作电压的1.5~2倍。 6、电容两端的电压和电流的相位关系是:同相、反相、电压超前电流90°、电流超前电压90°? 电流超前电压90°。 7、如果某CPU有很多IO端口需要接上下拉电阻,电阻范围1~10K欧姆均可。以下规格的电阻,您会选择哪一种:1K/1%、 4.99K/1%、10K/1%、1K/5%、2.2K/5%、4.7K/5%、8.2K/5%、10K/5%、3.9K/10%、 5.6K/10%、4.7K/20%?说明你选择该 电阻的理由。 从理论上来说,1~10K的电阻都可以采用,但如果从价格上考虑,当然是4.7K/20%的最合算。 8、请简述压敏电阻工作原理。 当压敏电阻上的电压超过一定幅度时,电阻的阻值降低,从而将浪涌能量泄放掉,并将浪涌电压限制在一定的幅度。 9、请简述PTC热敏电阻作为电源电路保险丝的工作原理。 当电源输入电压增大或负载过大导致电流异常增大的时候,PTC热敏电阻因为温度增大而使其等效电阻迅速增大,从而使输出电压下降,减小输出电流。当故障去除,PTC热敏电阻恢复到常温,其电阻又变的很小,电源电路恢复到正常工作状态。 10、常见贴片电容的材质有:X7R、X5R、Y5V、NPO(COG)、Z5U。请问电容值和介质损耗最稳定的电容是哪一种? 电容值和介质损耗最稳定的是NPO(COG)材质电容。 11、某磁珠的参数为100R@100MHz,请解释参数的含义。 在100MHz频率下的阻抗值是100欧姆。 12、请问共模电感的作用是什么?

相关主题
文本预览
相关文档 最新文档