当前位置:文档之家› Cool Edit Pro 2教程

Cool Edit Pro 2教程

Cool Edit Pro 2教程
Cool Edit Pro 2教程

Cool Edit Pro 2.0详细教程

?浏览:6747

?|

?更新:2014-01-11 11:27

系统介绍一下用Cooledit pro 2.0录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。

录制原声录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3伴奏文件,wav也可(图1)。

2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要重新录制(图4)

介绍中是让大家存为wav格式,其实mp3也是绝对可以的,并且可以节省大量空间。

(图6)

(图7)

(注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦

克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么

高超,也不可能处理出令人满意的结果的。另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。

降噪处理

降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

2.点鼠标左键拖动,直至高亮区完全覆盖你所选的那一段波形(图9)。

3.右单击高亮区选“复制为新的”,将此段波形抽离出来(图10)。

4.打开“效果--噪声消除--降噪器”准备进行噪声采样(图10)。

5.进行噪声采样。降噪器中的参数按默认数值即可,随便更动,有可能会导致降噪后的人声产生较大失真(图

11)

6.保存采样结果(图12)

7.关闭降噪器及这段波形(不需保存)。

8.回到处于波形編辑界面的人声文件,打开降噪器,加载之前保存的噪声采样进行降噪处理,点确定降噪前,可先点预览试听一下降噪后的效果(如失真太大,说明降噪采样不合适,需重新采样或调整参数,有一点要说明,无论何种方式的降噪都会对原声有一定的损害) (图13 图14 图15)

(图14)

(图15)

高音激励处理

1.点击“效果--DirectX--BBE Sonic Maximizer”打开BBE高音激励器(图16)。

2.加载预置下拉菜单中的各种效果后(或是全手动调节三旋钮)点激励器右下方的“预览”进行反复的试听,直至调至满意的效果后,点确定对原声进行高音激励。(图17 图18)

(图18)

(注)此过程目的是为了调节所录人声的高音和低音部分,使声音显得更加清晰明亮或是厚重。激励的作用就是产生谐波,对声音进行修饰和美化,产生悦耳的听觉效果,它可以增强声音的频率动态,提高清晰度、亮度、音量、温暖感和厚重感,使声音更有张力。

压限处理

1.点击“效果--DirectX--Waves--C4”打开WaveC4压限效果器(图19)。

2.加载预置下拉菜单中的各种效果后(如果你对数字音频有足够了解的话,也可手动调节)点右下方的“预览”进行反复的试听,直至调至满意的效果后,点确定对原声进行压限处理(图20)。

(注)压限的目的,通俗的说就是把你录制的声音从整体上调节的均衡一些,不至忽大忽小,忽高忽低。混响处理

1.点击“效果--DirectX--Ultrafunk fx--Reverb R3”打开混响效果器(图21)。

2.加载预置下拉菜单中的各种效果后(也可手动调节)点右下方的“预览”进行反复的试听,直至调至满意的混响效果后,点确定对原声进行混响处理,常用的效果如图中所示(图22 图23)。

做过混响处理后,可以使你的声音显得不那么干涩,变的圆润和厚重一些。至此,对人声的处理全部结束。

混缩合成

1.点“编辑--混缩到文件--全部波形”便可将伴奏和处理过的人声混缩合成在一起(图24)。

点“文件--另存为”将混缩合成后的文件存为mp3pro格式(图25)!!

Iphone4移动cmwap网络配置操作说明

Iphone4移动cmwap网络配置操作说明Iphone4使用移动通信网络,其上网设置默认是cmnet模式,其默认配置可以通过下面的步骤查看: 打开Iphone4 上的“设置”——“通用”——“网络”——“蜂窝数据网络”(注意:该“蜂窝数据网络”只有在插入移动SIM卡后才会出现,使用联通SIM卡无此选项),如下图:

以上配置虽是系统默认配置,但需通过手工输入(客户可以按图示输入进行配置),而且蜂窝数据中APN 的输入必须为cmnet ,不能为cmwap ,否则手机上不了任何网络,任何浏览器都打不开网页。 如果客户需要通过cmwap 上网,则需要在iPhone4上安装配置文件。配置cmwap 网络模式的具体操作流程如下: 1.通过苹果官网下载最新的配置实用工具iPhoneConfigUtilitySetup 。大家可以直接在浏览器中输入如下地址进行下载: https://www.doczj.com/doc/c810891733.html,/downloads/DL926/zh_CN/iPhoneConfigUtili tySetup.exe 2.安装官方配置实用工具。双击前面下载的配置程序,如下图:

3.启动实用配置工具进行网络配置。 该配置实用工具越狱或没越狱均可用此方法设置cmwap连接,配置成功后可以使用任意SIM卡,插上手机启动即可自动完成网络配置,不需再手动配置。 具体配置过程如下: A.启动iPhone 配置实用工具后,点击左上角的“新建”按钮,新建一个配置描述文件,按下图在“通用”栏填好名称(cmwap,可自定义)和标识符(https://www.doczj.com/doc/c810891733.html,pany.cmwap):

B.上面的设置做好后,再点击“高级”栏目,点击右边的“配置”,然后

iphone4使用经验大全!!这个比使用说明神马给力多了!

iphone4使用经验大全!!这个比使用说明神马给力多了!1,iPhone 4下面数据线口两边的两个洞,一个是喇叭,一个是麦克风,觉得一边喇叭不响的人可以放心了,我之前就认为一边喇叭坏了,见笑了。 2,同步进去的照片是不能在手机上删除的,只能取消同步或者用if unbox删除photos里面的东西才能删除掉。 3,设定为联系人照片的图片即使在手机上删掉还是会保留在联系人照片里的。 4,打电话时候要么贴脸近点要么就保持一段明显距离,不然可能会误碰静音或者挂掉。我一直把耳朵贴在听筒那里,就没再误操作过。5,菜单界面按音量加减和游戏界面按音量加减所控制的是两套音量,菜单时候是铃声音量,而游戏里是游戏音量,所以不用担心互相干扰。 6,如果你到现在还没撕掉背膜,你会发现闪光灯开启的时候基本什么都看不清。 7,多任务切换如果软件都支持的话非常不错,最近越来越多的软件都更新支持表示ios 4.0 tested,抱怨qq退出就要重新登陆的只要等qq更新就可以了。 8,开启日文输入法的绘文字,可以在文件夹名称栏里加入小的图片便于区别分类。 9,一个itunes账号可以把所下载的游戏同步给5个不同的iPhon

e,psn商店和这个一样,怕信用卡信息泄露可以先在账户管理里p ayment信息取消掉。 10,itunes账号下载的游戏或者你之前赶上限时免费下载的游戏,只要你下载了就是你的,以后不管新版本更新也好再次收费也好都是免费的,直接下载同步进去就可以了。 11,一个iPhone在几个不同的电脑上使用,可以放心同步游戏不会被删除,itunes上所有同步都选手动,然后如果手机里有这个电脑里没有的程序,会提示你是删除还是拷贝到电脑里以ipa形式存在。 12,但是音乐和视频就不能这样,一旦在另外一个电脑同步了,那么整个媒体库就全换了。用ifunbox可以解决,把手机里的音频视频都拷贝到电脑上,重新加入到资料库,连同你想添加的新东西一起重新同步一次,这样只同步进去新的东西,而且同步之后可以把全部文件都删除掉,仅留下itunes里一个空壳列表,这样又可以加入新的媒体文件到资料库然后同步。 13,ifunbox里面有storage的选项,可以把文件拷贝拷到这个空间里,当优盘存储资料。 14,图片不光有图片本体,还有缩略图,用ifunbox删的话有可能你打开后看见一个黑的缩略图或者一个黑的图片,把后台的图片浏览关闭,然后再开就没有了。黑图是程序还驻留内存造成的。 15,背面的苹果logo如果把上面的叶子补到缺的那一块是补不齐的,一开始我以为可以补完成一个完整的屁股。

图文详细教程教你如何用Cool_Edit_Pro_2_1录音1

首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的mic调试好。然后下载我们要用到的工具COOL EDIT PRO 2.1及其插件。 Cool Edit Pro 2.1 下载 1、打开cooledit pro 2.0后,会自动建立一个新的工程。界面如下: 大家看到,我标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,如图:

需要把录音项打勾,在MIC一栏中选中,其它的不要选择,因为我们要录的只是自己要歌唱的声音。 2、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关闭”。回到多轨模式下删除此轨。

3、右键单击第一轨,插入一个音频文件,注意,这个音频文件就是你的音乐伴奏文件,可以是mp3,也可以是wav等其它音乐文件的格式。

4、在第二轨处,把R点亮,点击下面的红色录音键就可以开始跟唱了。不要忘了准备歌词和熟悉歌曲的旋律,要不然录出来的东西可是……嘿嘿 5、录制完成之后,你可以听听你录下的声音是不是干巴巴的?很难听吧?呵呵,都这样,没有加任何效果嘛。好,现在我们来给你的声音进行一下润色吧。右键点击你录制声音所在的轨道,点击波形编辑进入单轨模式。如图:

6、我们录制的声音首先要进行降噪,虽然录制环境要保持绝对的安静,但还是会有很多杂音的。点击效果中的降噪器,我们在上面已经进行过了环境的噪音采样,此时只需点击“确定”,降噪器就会自动消除你录制声音中的环境噪音,你也可以打开“预览”自己拖动直线来进行调整直到满意为止。加一句,过多的降噪会对声音有一定的损失。

quartusII图形设计过程教程

Quartus II 的使用 (2) 1 工程建立 (2) 2 原理图的输入 (5) 3 文本编辑(verilog) (15) 4 波形仿真 (16)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击 ok按钮。此时可看到光标上粘着被选的符号,将其移到合 适的位置(参考图 1.11)单击鼠标左键,使其固定; (4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧 单击鼠标左键,即可看到在input和D触发器之间有一条 线生成;

cooledit使用教程

C o o l E d i t P r o2.0录音图解教程 特别感谢网友川江魅影和戈壁提供指导整理/飞虹C o o l E d i t P r o2.0录音图解教程 首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来 听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的m i c调试好。然后下 载我们要用到的工具C O O L E D I T P R O2.0及其插件。 c o o l e d i t p r o2.0下载(带汉化 注册机) c o o l e d i t2.0汉化 U l t r a f u n k插件下载 B B E S o n i c M a x i m i z e r插件下载b b e序列号:S M V100W1002507 W a v e插件 插件4 插件5 1、安装好c o o l e d i t p r o2.0后,再把插件安装到安装目录下的子目录中,新建一个名曰“D X”的文件夹, 把所有的插件都安装释放到这里。 2、打开c o o l e d i t p r o2.0后,会自动建立一个新的工程。界面如下: 大家看到,我标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点 亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开 始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,如图:

需要把录音项打勾,在M I C一栏中选中,其它的不要选择,因为我们要录的只是自己要歌 唱的声音。 3、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很 长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关 闭”。回到多轨模式下删除此轨。 以是m p3,也可以是w a v等其它音乐文件的格式。

iphone4完全中文版使用手册

首先我先说下,源于网上个人整理后分享的, 整理也要花费时间和精力,这几个币下载也 不算贵的,购买别人的劳动成果也是对别人 的一种肯定。如果你不下可以去网上自己去 找,请不要骂人。 iphone4使用手册 菜鸟使用iPhone4入门教程_iphone4基本操作指南_iphone4使用教程 菜鸟使用iPhone4入门教程开始: 第一章:iphone的硬件按键 QUOTE: 3GS的各种功能按键

4代的各种功能按键

锁定iPhone:按下“开/关”和“睡眠/唤醒”按钮。 解锁iPhone:按下主屏幕按钮HOME键或“开/关”和“睡眠/唤醒”按钮,然后拖移滑块。 完全关掉iPhone:按住“开/关”和“睡眠/唤醒”按钮几秒钟,直至出现红色滑块,然后拖移该滑块 开启iPhone:按住“开/关”和“睡眠/唤醒”按钮,直至出现Apple标志 音量按钮:当您正在接听电话或欣赏歌曲、影片或其他媒体时,iPhone侧面的按钮可以调节音量。其他情况下,这些按钮可以控铃、提醒和其他声音效果的音量。 响铃/静音开关:扳动响铃/静音开关以让iPhone在响铃模式或静音模式之间切换。(注:当iPhone处于静音模式时,“时钟”闹钟频相关应用程序(如iPod)和许多游戏仍会通过内建扬声器播放声音。) 技巧提示:默认情况下,无论是处于响铃模式还是静音模式,有电话拨入时iPhone均会振动。如果iPhone已设定为响铃模式,以通过按一次“开/关”和“睡眠/唤醒”按钮或一个音量按钮,使来电静音。按下第二次会将电话挂断! ======================================================================= 第二章:iphone的各种状态图标

Cool Edit Pro一些使用技巧

Cool Edit Pro一些使用技巧 Cool Edit Pro一些使用技巧 出处:多特软件站时间:2008-09-03 人气:62290我要提问我来说两句 核心提示:如果你的Windows 计算机有一块声卡或健全的模块( 作为几乎所有做) ,Cool Edit 能把它变成一记录有特征的所有的工作室由职业的声音工程师使用了。 如果你的Windows 计算机有一块声卡或健全的模块( 作为几乎所有做) ,Cool Edit 能把它变成一记录有特征的所有的工作室由职业的声音工程师使用了。你能使用它记录你的自己的音乐,声音或另外的声音, 编辑它, 与另外的声音或音乐的部分混合它, 象Reverb ,合唱团,并且回响一样增加效果到它,equalize 它,并且主人它以便你能烧它到CD ,在万维网上邮寄它,或发电子邮件给它。一旦你开始,你将在你能完成的被惊奇! 下面,我们以一段吉他音频的编辑为例,介绍Cool Edit Pro的编辑功能.至于其他的贝斯,人声等,编辑方法基本一样. 如图,是一段没有经过编辑的木吉他节奏波形.红线所指,是开

始弹奏前预留的一段空白噪音.这段噪音的预留,是为了现在进行采样降噪做准备的. 首先谈谈降噪的过程: 我们录进电脑里的波形,一定会存在有噪音。对各种噪音,有各种解决办法. DC Offset(直流偏移)是由一些麦克风或者声卡造成的,表现现象就是波形没有处于水平线正中部位,在纵向有一定偏移. 它的处理方式最简单,一般的音频软件都可以很容易去除.如Sound Forge里,只要在"process"菜单里选择"Dc Offset"就可以了. 而在Cool Edit Pro中,则在"转换"菜单里选择"Amplify",如下图所示: 点红线所指之处,会出现下面的对话框 选中"Enable DC Bias Adjust"前的勾,然后在红线指处填进去"0",然后选择"OK"就可以了.而背景噪音是一般个人电脑录音中最大的问题,因为房间隔音能力差,环境不安静造成各种各样的背景噪音.如声卡的杂音,音箱的噪音,家里电器的声音,电脑的风扇,硬盘..., 采样降噪是目前比较科学的一种消除噪音的方式,它首先获

Quartus_II使用教程-完整实例

Quartus Ⅱ入门教程 (一个Verilog 程序得编译与功能仿真) Quartus Ⅱ 就是Altera 公司推出得专业EDA 工具,支持原理图输入、硬件描述语言得输入等多种输入方式。硬件描述语言得输入方式就是利用类似高级程序得设计方法来设计出数字系统。接下来我们对这种智能得EDA 工具进行初步得学习。使大家以后得数字系统设计更加容易上手。 第一步:打开软件 快捷工具栏:提供设置(setting),编译(pile)等快捷方式,方便用户使用,用户也可以在菜单栏得下拉菜单找到相应得选项。● 菜单栏:软件所有功能得控制选项都可以在其下拉菜单中找到。 ● 信息栏:编译或者综合整个过程得详细信息显示窗口,包括编译通过信息与报错信息。 第二步:新建工程( Project Wizard ) 1 工程名称: 快捷工具栏 菜单栏 所建工程得保存路径 工作区 资源管理窗口 任务管理窗口

dqgxo 。 2添加已有文件(没有已有文件得直接跳过next ) 3 选择芯片型号(我们选择MAX3000A 系列下得EPM3256AQC208-10芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置) 工程名称 顶层模块名(芯片级设计为实体名),要求与工程名称相同 如果有已经存在得文 件就在该过程中添加, 软件将直接将用户所添加得文件添加到工程中。

4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None,然后next) 5 工程建立完成(点finish ) 所选得芯片得系列型号 快速搜索所需得芯片 选择芯片 选择第三方综合工具,如果使用Quartus 内部综合工具则选择none 选择第三方仿真工具,如果使用Quartus 内部仿真工具则选择none 选择时序分析仪

Cool Edit 教程--Cool Edit 的安装及参数设置

Cool Edit 教程--Cool Edit 的安装及参数设置 首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的mic调试好。 然后下载我们要用到的工具COOL EDIT PRO 2.0及其插件。现在很多的音乐制作人都在使用这个超级工具。闲话少说,与本次介绍给大家无关的不提,我们现在开始。 1、安装好cooledit pro 2.0后,再把插件安装到安装目录下的子目录中,新建一个名曰“DX”的文件夹,把所有的插件都安装释放到这里。 2、打开cooledit pro 2.0后,会自动建立一个新的工程。界面如下: 大家看到,标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,双击电脑桌面右下角的音量图标,打开后点选项中的属性如图:

需要把录音项打勾,在MIC一栏中选中,其它的不要选择,因为我们要录的只是自己要歌唱的声音。 3、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关闭”。回到多轨模式下删除此轨。另,也可以在单轨下截取音轨空白的部分获取噪音进行降噪。

4、右键单击第一轨,插入一个音频文件,注意,这个音频文件就是你的音乐伴奏文件, 可以是mp3,也可以是wav等其它音乐文件的格式。

5、在第二轨处,把R点亮,点击下面的红色录音键就可以开始跟唱了。不要忘了准备歌词和熟悉歌曲的旋律,要不然录出来的东西可是……嘿嘿 6、录制完成之后,你可以听听你录下的声音是不是干巴巴的?很难听吧?呵呵,都这样,没有加任何效果嘛。好,现在我们来给你的声音进行一下润色吧。右键点击你录制声音所在的轨道,点击波形编辑进入单轨模式。如图

CoolEditPro20详细指导[1-4](图文)

Cool Edit Pro 2.0详细教程[1-4](图文) 系统介绍一下用Cooledit pro 2.0录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3伴奏文件,wav也可(图1)。 (图1) 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

(图3) 4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要 重新录制(图4)

(图4) 5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro格式(图6 图7),以前的介绍中是让大家存为wav格式,其实mp3 也是绝对可以的,并且可以节省大量空间。

(图5)

(图6) (图7) (注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪处理 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

QuartusII教程(完整版)

Quartus II 的使用 (1) 1 工程建立 (1) 2 原理图的输入 (4) 3 文本编辑(verilog) (14) 4 波形仿真 (17)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图 1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;

CoolEdit2.0使用教程

Cool Edit Pro v2.0实验手册 实验一安装注册 Cool Edit是一款功能强大、效果出色的多轨录音和音频处理软件。它是一个非常出色的数字音乐编辑器和MP3制作软件。不少人把Cool Edit形容为音频“绘画”程序。你可以用声音来“绘”制:音调、歌曲的一部分、声音、弦乐、颤音、噪音或是调整静音。而且它还提供有多种特效为你的作品增色:放大、降低噪音、压缩、扩展、回声、失真、延迟等。你可以同时处理多个文件,轻松地在几个文件中进行剪切、粘贴、合并、重叠声音操作。使用它可以生成的声音有:噪音、低音、静音、电话信号等。该软件还包含有CD播放器。其他功能包括:支持可选的插件;崩溃恢复;支持多文件;自动静音检测和删除;自动节拍查找;录制等。另外,它还可以在AIF、AU、MP3、Raw PCM、SAM、VOC、VOX、WA V 等文件格式之间进行转换,并且能够保存为RealAudio格式。 实验目的 了解Cool Edit的功能 掌握Cool Edit2.0及相关插件的安装过程 实验内容 1.1安装 步骤一:运行cep_v2.0 setup.exe安装Cool Edit Pro v2.0。勾选“同意许可协议”后点击“Continue”继续,来到友好欢迎界面(图1.2)。 图1.1 图1.2 步骤二:安装路径,默认为:C:\Program Files\coolpro2,可以通过“Browse”另择安装

路径。这个安装路径很重要,在后面的注册、汉化操作中都会涉及到。 图1.3 图1.4 步骤三:路径设置完毕,在图1.5中可以看到我设置的路径为:D:\Program Files\coolpro2。点击“Next”进入下一步操作(图1.6)。这里是要我们选择将来软件关联的音频格式,默认中已经准备了很多,我们只需点击“Next”即可。 图1.5 图1.6 步骤四:准备安装。当我们看到图1.7所示界面,表示前期工作已经结束,可以安装了。 图1.7 图1.8

苹果4代说明书

苹果iPod nano 4使用说明书 您好,首先非常感谢购买本店的产品,以下是本店产品的说明书如有需要请耐心浏览,谢谢 1.按键了解: NENU键(最上面的键)——确认键返回键(长按2秒左右) →‖‖键(最下面的键)——暂停播放键开机关机键(长按几秒) →‖‖‖‖←(左右键)——快进键(长按加速) ●(中键)进入音乐界面点击弹出音乐音量调整界面的。用左右键进行调整。长按也可以锁键盘解锁也是长按解锁。

2.开机说明 开机方法,有很多买家都不知道怎么开机,以为是机子有问题开不了机,现在在这里跟各位买家讲一下怎么开机,机子上面有一个开关机键,打开开关,然后再长按一会圆键最下面那个键(就是暂停播放键),然后就可以开机了. 3.歌词同步 首先必须下载同步歌曲的歌词,在音乐播放的一面,长按几秒MENU按键(注意哦按住menu 2到3秒是返回键哦),这样就可以显示同步的歌词了 4音量调节 在播放歌曲的页面上,按中键,就有一个横条调节音量的标示出来的,此时按左右键即可调节音量大小

使用必知 一、连接电脑USB接口充电、用充电器给MP4充电的时间请不要超过4个小时,否则极易造成MP4内置锂电池的失效和损坏。 二、充电时请不要关注MP4或者充电器指示灯是什么样的状态,4个小时电就充满了,充满电后MP4或者充电器指示灯也不会改变任何状态的。 三、单次连接在电脑USB口上的时间不要超4个小时,MP4从电脑上拔出来的时候,一定要严格按照电脑操作系统的要求,先删除硬件后再拔下MP4。否则极易造成MP4播放器丢失软件程序。 四、我们这款产品是视频是支持AMV格式的,需要用我们的转换软件转换的具体转换方法可以在AMV格式转换方法浏览的,如有不明可以联系我们的客服我们会详细帮您分析的。

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

录音软件cooledit2.1详细使用方法

一、软件简介: CoolEdit PRO 2.1 破解版(功能强大的多轨录音软件)非常出色的数字音乐编辑器和MP3制作软件。不少人把Cool Edit形容为音频“绘画”程序。你可以用声音来“绘”制:音调、歌曲的一部分、声音、弦乐、颤音、噪音或是调整静音。而且它还提供有多种特效为你的作品增色:放大、降低噪音、压缩、扩展、回声、失真、延迟等。你可以同时处理多个文件,轻松地在几个文件中进行剪切、粘贴、合并、重叠声音操作。使用它可以生成的声音有:噪音、低音、静音、电话信号等。该软件还包含有CD播放器。其他功能包括:支持可选的插件;崩溃恢复;支持多文件;自动静音检测和删除;自动节拍查找;录制等。另外,它还可以在AIF、AU、MP3、Raw PCM、SAM、VOC、VOX、WAV 等文件格式之间进行转换,并且能够保存为RealAudio格式。 二、使用说明: 1.运行cep_v 2.0 setup.exe安装Cool Edit Pro v2.0! 一般都会安装到默认的路径 2.运行破解注册程序 cep2reg.exe程序注册,输入注册码: Name: mydaj Code: 200-00-NKLYUBNZ 3.运行cep_v2.1 setup.exe程序安装Cool Edit Pro v2.1! 4.运行汉化程序 Cool2chinese 汉化包安装到上面安装程序的路径下 5.下面是三个效果插件,这些效果插件都有破解和注册码。按默认路径就可以了。请一个一个安装: BBE Sonic Maximizer (serial: SMV100W1002507) ultrafunk2 wave3.0 三、系统介绍一下用Cooledit pro 2.1录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 (一)录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3/wma伴奏文件(图1)。

苹果4手机使用窍门

苹果4手机使用窍门 1. 同时按住关机和home可以截图(90%的用户都知道,但还是有不知道的) 2. 计算器横过来是科学计算器,计算器表面上是没有退格键的,但是只要在数字屏幕上向左或向右划,就可以退格。 3. 系统自带的定时器可以让iPod睡眠。用法:睡前听音乐,设定半小时后休眠,这样半小时后音乐自己就停了。不仅iPod,诸如Tokyo FM这样的广播软件也一样有效。 4. 躺在床上时Safari等自动横屏解决办法:横着用,使HOME键处于高处,就不会再旋转了。 5. safari下方的“+”,点进去有一个保存至主屏幕以后就可以从桌面直接打开了。 6. safari浏览网页时,长按链接可以选择打开网页的方式。 7.safari浏览网页时,点击顶部,快速跳至页面顶部(其它也支持经常用到)。 8. 晃动iPhone/iPod touch随机播放音乐。原装的耳机上的麦克风,按一下暂停,两下连按下一首,三下连按上一首。 9. 按住线控的按钮不动会进入语音识别,可以拨打电话,比如说:拨打xxx。或询问:哪个乐队的。没线控长按

home键也一样。限3GS、4。 10. 自带的Google地图具有很方便的街景模式和简单导航功能。街景是地图点的左边有小人图标的话,点小人(限部分国家)。导航就是查起点终点,会提示你前方多少米拐弯等。 11. 播放音乐时,在待机(锁屏)画面或主画面连按两次home键,可以呼出控制ipod的窗口,比如下一首、暂停等。也可设成相机等。 12. 输入短信时,晃动小i就相当于ctrl+z 。注:输入画面晃动iPhone可提示撤销操作。 13. 如果你打字想输入“度”(小圈圈)这个单位符号时,可以在数字键盘上按住0不动来选择。 14. 播放电影,音乐时想快进或者倒退的速率单位更加精确的话,可以按住播放进度条上的小圆点不放手,并且沿其播放进度条的垂直方向向下移动,越向下移动,快进速率精度越高。 15. 在iphone里隐藏音频文件:在itunes里选中你想要隐藏的音频,然后右键-显示简介-在“归类”中输入“EXPLICIT”,然后同步到iphone中,在iphone上点设置-通用-限制-启用限制,然后在下面的“音乐与podcast”中开启EXPLICIT就可以在ipod中隐藏你所有为备注“EXPLICIT”的音乐了。

音乐编辑Cool Edit Pro简单教程

Cool Edit Pro 用Cool Edit Pr o消除原唱,方法实际上可以说很简单,然而要想把它做的很完美还是得下点功夫。 我这里说的“消原唱”只是Cool Edit Pro菜单中的一个独立功能,选择并使用就立即出结果。但是要想得到最好的效果,仅仅使用Cool Edit Pr o的“消原唱”菜单功能还是不够滴!!你还得进行跟多细致的音频处理和设置。 无论如何,还是先让我们尝试下最简单的“消除原唱”的方法。 首先进入“单轨编辑模式”界面。用“File”→“Open”调入一个音频文件。我已庾澄庆的《命中注定》为例,文件名为“命中注定.MP3”。调入后选择“Effects”→“Amplitude” →“Channel Mixer…..”(中文版的为“效果”→“波形振幅”→“声道重混缩”),在“预置”中选择“Vocal Cut”见(图1),保持对话框上的默认设置,点“OK”按钮。经过处理后,就得到了《命中注定》这首歌的伴奏音乐。 “Vocal Cut”功能的原理是:消除声像位置在声场中央的所有声音(包括人声和部分伴奏)。所以用此功能主要的还是要看伴奏的来源,混音前是否有乐器和人声放在声场的中央,如果有的话用此功能都会把它给消除掉,造成了音质的衰减。比如说一般声场放在中央的有“主人声”、BASS……等等。如果大家需要消音音频来源是我说的这些原理的来源的话,我还是建议不要使用此功能,这样人声没消掉到把伴奏音乐全给消除了,真让人郁闷呵呵 Adobe Audition 导语:现在很多影碟机和软件都能将有歌声的双声道歌曲消除原唱,但是您会发现消除后伴奏就变成单声道了,并且只针对回声很小的歌曲有效果。而最新版本的Adobe Audition就解决了这个难题,消除了原唱不仅能保持双声道,而且回声较大的歌曲也能将歌声减去很多,且效果较好,甚至还可以将左声道是伴奏右声道是原唱的VCD音频转换成双声道伴奏! 网友们,在充满着音乐的美好的时光里,确实给我们带来了很多的欢乐,动听的歌声打动着我们每个人的心灵,优美的旋律让我们放开心怀,丰富了我们的业余生活。对!我们听着的音乐都是歌星们演唱的,但是,如果有自己心爱的歌曲想自己唱,那就需要原唱歌曲的伴奏了。其实您需要伴奏也可以去音像店里购买歌星的MTV VCD/DVD或者KALAOK VCD/DVD,也可以去网上寻找MP3、MIDI伴奏,除少部分歌曲在音像店或者网上能够找到双声道伴奏外,有一部分歌曲是单声道伴奏,但有一部分歌曲根本就没有伴奏,哎呀,如果我心爱的歌曲我买不到也找不到伴奏那怎么办呢?没关系,这篇文章可以为您解难!另外,有的已做好了的伴奏有导唱旋律声,有的朋友唱歌水平很高,不需要导唱旋律声,如果找不到无导唱旋律声的双声道伴奏的情况下,也可以在下面的介绍中利用原唱歌曲消除原唱保持双声道伴奏,当然,不同的伴奏制作方法有不同的效果,应该根据个人情况决定。这篇文章我们会介绍将已有的单声道伴奏制作成双声道伴奏、将有歌声的歌曲消除原唱制作成双声道伴奏、将伴奏制作成个性化伴奏。

最新iphone4操作指南使用技巧(图解)

iPhone 4使用操作手册 本帖一、iPhone 4按键的基本介绍 二、开机 iPhone 4开机需要长按机身顶部右上角的“开/关键”(也称之为电源键)。 开/关键的作用: 1.锁定 iPhone 按下“开/关”和“睡眠/唤醒”按钮。 2.解锁 iPhone 按下主屏幕按钮或“开/关”和“睡眠/唤醒”按钮,然后拖移滑块。 3.完全关掉 iPhone 按住“开/关”和“睡眠/唤醒”按钮几秒钟,直至出现红色滑块,然后拖移该滑块。在 iPhone 已关掉时,来电会直接前往语音信箱。 4.开启 iPhone 按住“开/关”和“睡眠/唤醒”按钮,直至出现 Apple 标志 三、激活 iPhone在出厂时不能用来拨打普通电话,必须先激活它。激活后的iPhone可以访问联通无线网络并启

用iPhone的全套功能,未激活的iPhone只能用来拨打紧急电话。iPhone激活需通过iTunes完成。 激活iPhone通常在购买时进行,但用户也可以在家完成。 (一)激活前状态 激活前iPhone会显示以下屏幕,告知用户必须插入SIM卡,并将iPhone连接到电脑并打开iTunes才能激活它。 (二)激活流程 1.准备一台可访问互联网的电脑,并确保电脑连接互联网; 2.在电脑上下载并安装iTunes软件,获取iTunes软件的地址为: https://www.doczj.com/doc/c810891733.html,/itunes/download 3.将SIM/USIM卡放入iPhone手机中,放入SIM/USIM卡的方法见附加说明2; 4.将随机附带的数据线一端插入联网电脑的USB接口,另一端接在iPhone的数据接口,电脑会识别iPhone并自动打开iTunes(如果尚未打开的话); 5.iTunes软件运行,自动识别iPhone手机并连接苹果服务器进行激活。 6.如iTunes弹出运营商设置对话框,必须点击“更新设置”,待更新成功后即可。 附加说明1:iPhone电量确认 在开始iPhone激活阶段之前,请确认iPhone有电。没有电的iPhone无法打开,也不能激活它。使用随附的交流电源适配器为iPhone充电大约15分钟。如果iPhone的电池已耗尽,iPhone至少需要充电10

cooleditpro音频基本编辑方法

3、单轨音频基本编辑方法 1. 录音 Cool Edit Pro可以录入多种音源,如:话筒、录音机、CD播放机等,将这些设备与声卡连接好,就可以准备录音了。录音的步骤如下: (1)将话筒插入电脑声卡的麦克风插孔,开启话筒电源。 (2)启动Cool Edit Pro后,切换到波形单轨编辑窗口。 (3)选择菜单[文件][新建],出现“新建波形”对话框,选择适当的采样率,声道数,采样精度,如图4-9所示。 (4)按下操作区的“”按钮,开始录音。如图4-10所示。 图4-9 新建波形图4-10 录音按钮 (5)单击操作区左上角的“”按钮就可以停止录音。 (6)通过单击“”按钮进行试听。 (7)通过菜单[文件][另存为]进行保存,保存时可以选择不同的文件类型,如图4-11所示。

图4-11 录音文件保存 2. 摘录 Cool Edit Pro可以从CD或VCD中摘录声音,现以CD为例,步骤如下:(1)将CD放入光驱中,选择菜单[文件]|[从CD中提取音频]。 (2)在[音轨]下拉文本框中选择要提取的音轨,单击[确定],如图4-12所示。 图4-12 摘录 (3)通过菜单[文件]|[另存为]选择需要的类型进行保存。 3. 其他操作

其他的操作如剪切、复制、粘贴等功能和一般的应用软件很相似。在波形窗口左右声道的交界处拖动鼠标,选中要处理的波形区域,单击右键弹出快捷菜单就可以选择剪切或复制命令,在需要插入波形的地方同样单击右键弹出快捷菜单就可以选择粘贴命令。如果要选中右声道中的波形,则可以将光标移到波形窗口下方边界时,光标显示“R”的时候拖动鼠标,如图4-13所示。同样的,如果要选中左声道中的波形,则可以将光标移到波形窗口上 图4-13 选择右声道中的波形 方边界时,光标显示“L”的时候拖动鼠标。 4、单轨音频效果处理 1. 音量调整 Cool Edit Pro可以在保证不出现声音失真的前提下,对声音进行调整。 操作步骤如下: (1)打开要处理的音频文件。 (2)选择菜单[效果]|[波形振幅]|[渐变]。

QuartusII入门详细教程实例讲解

Quartus II入门详细教程实例讲解 写在前面: 1.本教程适合以前没有接触过QuartusII开发软件的新手,本教程是基础的入门,后续的学习还得大家自己努力。 2.本教程非常详细手把手带大家入门,网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做。 3.本教程首先通过简单的仿真实验带大家入门。VHDL源代码会附在文档最后。 4.本教程使用Quartus II 9.1版本进行演示,其他版本的操作差别不是太大,也可以进行学习。 目录 一、Quartus II开发软件基本介绍 1.1 Quartus Ⅱ简介 Quartus Ⅱ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。 1.2 Quartus Ⅱ开发流程 使用Quartus II 软件进行开发的流程如图1.2.1所示。需注意的是,Quartus II还可以使用命令行模式的TCL批处理脚本进行自动流程控制。

图1.2.1 Quartus Ⅱ开发流程 二、用3-8译码器的设计介绍QuartusⅡ的基本使用方法(VHDL仿真) 1.1打开软件 双击桌面安装好的QuartusⅡ 9.1图标,打开软件,主页面如图1所示。 图1 在图1中,1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。2区为快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。3区为资源管理窗口。4区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当显示100%是表示编译或者综合通过。5区为工作区。6区为信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

相关主题
文本预览
相关文档 最新文档