当前位置:文档之家› 适用于白光LED驱动的高效率Boost型DC-DC开关电源的设计

适用于白光LED驱动的高效率Boost型DC-DC开关电源的设计

适用于白光LED驱动的高效率Boost型DC-DC开关电源的设计
适用于白光LED驱动的高效率Boost型DC-DC开关电源的设计

南开大学

硕士研究生毕业(学位)论文

姓名:董双兵

年级:2007级

专业:微电子学与固体电子学

研究方向:模拟集成电路设计

论文题目:适用于白光LED驱动的高效率

Boost型DC-DC开关电源的设计完成日期:2010年5月

导师:戴宇杰教授

南开大学微电子研究所

2010年5月

南开大学学位论文版权使用授权书

本人完全了解南开大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版;在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。

学位论文作者签名:

年月日

经指导教师同意,本学位论文属于保密,在年解密后适用本授权书。

各密级的最长保密年限及书写格式规定如下:

南开大学学位论文原创性声明

本人郑重声明:所呈交的学位论文,是本人在导师指导下,进行研究工作所取得的成果。除文中已经注明引用的内容外,本学位论文的研究成果不包含任何他人创作的、已公开发表或者没有公开发表的作品的内容。对本论文所涉及的研究工作做出贡献的其他个人和集体,均已在文中以明确方式标明。本学位论文原创性声明的法律责任由本人承担。

学位论文作者签名:

年月日

中文摘要

中文摘要

LED照明因具有小型、抗震、坚固、寿命长、不易发热、低耗电量且功能稳定等特性,正获得越来越广泛的应用。作为白光LED照明系统中的关键组成部分,LED驱动芯片的电路设计面临严峻挑战。

本文在分析和比较各种类型的白光LED驱动电路的基础上,设计了一款适用于白光LED驱动的高效率boost型DC-DC开关电源芯片。采用峰值电流的控制模式,使负载LED上的电流保持恒定。该驱动芯片的输入电压范围为2.6V~5V,输出电压可在VIN+1.4V到12.5V之间变换,可以驱动1~3个串联LED;输出电流范围为20mA~1A,可以驱动并联LED或串并联混合LED。既可作为背景光使用,又可作为大功率照明LED驱动。该芯片具有PWM/PSM模式自动切换功能,在大负载电流的情况下,芯片处于PWM调制模式,在小负载电流的情况下,芯片处于PSM调制模式,因此确保芯片具有较高的转换效率。开关管的开关频率625kHz/1.25MHz灵活可调,便于外围电感电容器件的选择和转换效率的提高。

论文重点介绍了电流控制Boost型DC-DC系统的传输函数和不稳定因素,通过误差放大器补偿网络和斜坡补偿技术解决了系统环路的稳定性问题。此外,主要设计了环路控制中的主要电路模块,如误差放大器,变频振荡器以及斜坡补偿电路等模块。芯片还具备软启动,欠压保护,过流保护等功能以及关断工作模式,以保护系统不受到过流、过压的损害,方便外围系统对其控制,并降低了系统的功耗。

整体设计采用华虹NEC um BCD工艺实现,利用Cadence Spectre仿真软件对整体电路进行了功能仿真验证,结果表明:该开关电源芯片具有输出电压纹波小,转换效率高,最高转换效率达到89%,具有良好的瞬态响应性能和负载调整能力等特点。

关键词:白光LED,DC-DC开关电源,PWM,PSM

I

Abstract

Abstract

LED illumination obtains more and more widespread application because of its characteristic, such as small-sized, aseismatic, sturdy, durable, low power consumption etc. White LED driver IC, which is the key component of LED lighting system, is facing critical challenge in its design.

Based on the analysis and comparison of the various types of white LED driver circuit, a high-efficiency boost DC-DC switching power supply IC suitable for white LED driver was designed. Peak current control mode was employed to keep LED load current constant. The driver IC’s input voltage range is 2.6V~5V, output voltage can be between VIN+1.4V to 12.5V which can drive 1~3 series LEDs; the output current range is 20mA~1A which can drive parallel or series-parallel LEDs. It can not only be used as a background light driver, but also a high-power illumination LED driver. The chip has a PWM / PSM mode automatic switching function: under the heavy load,the system will operate at PWM mode, and at the PSM under light load condition to guarantee the high efficiency. It is easy to select the external inductor and capacitor parts as the switching frequency is flexible and adjustable at 625kHz or 1.25MHz. As a result, the power efficiency can be improved.

This thesis focused on the transfer function and instability of the current-programmed boost DC-DC converters, and then solved the system loop stability through the error amplifier compensation network and the slope compensation technique. In addition, the main circuit modules of the control loop were designed, such as the error amplifier, frequency-variable oscillator and slope compensation circuit and so on. The circuits of soft-start, under voltage protection, over current protection and system shutdown were integrated into the chip to protect it from damage and make it convenient to use.

The whole design was realized by UMC N-well standard CMOS process, and was verified by Cadence Spectre simulation. The result indicates that the efficiency goes up to 89%,moreover, the system exhibits the small output ripple,good dynamic behavior and load regulation.

Keywords:White LED,DC-DC Converter,PWM,PSM

II

目录

目录

中文摘要................................................. I Abstract ................................................ II 第一章绪论.. (1)

第一节LED原理及其驱动技术 (1)

第二节课题研究的背景及意义 (2)

第三节相关技术研究现状和发展趋势 (3)

第四节论文结构与主要内容 (4)

第二章LED驱动电路原理 (6)

第一节LED驱动芯片的分类 (6)

第二节电路拓扑结构 (6)

2.2.1 线性结构(LDO) (6)

2.2.2 电容式开关结构 (8)

2.2.3 电感式开关结构 (9)

第三节Boost型DC/DC相关知识 (10)

2.3.1 升压型开关电源原理 (10)

2.3.2 DC/DC变换器的调制方式 (15)

2.3.3 电感和电容的选择 (18)

2.3.4 系统传输函数及稳定性 (20)

第三章LED驱动芯片整体结构设计 (23)

第一节设计方案的选择 (23)

第二节芯片规格定义 (24)

3.2.1 芯片功能描述 (24)

III

目录

3.2.2 芯片功能框图及引脚定义 (24)

3.2.3 芯片应用电路及其引脚排列图 (26)

第三节设计方案的几个关键技术 (28)

3.3.1 PWM/PSM混合控制及自动切换 (28)

3.3.2 斜坡补偿技术 (30)

3.3.3 开关频率选择技术 (31)

3.3.4 BCD工艺的选择 (33)

第四章芯片的电路级设计及仿真 (35)

第一节基准源电路 (35)

4.1.1 带隙基准的原理 (35)

4.1.2 电路设计 (36)

4.1.3 仿真结果 (37)

第二节变频振荡器 (40)

4.2.1 频率可变的原理 (40)

4.2.2 电路设计 (41)

4.2.3 仿真结果 (42)

第三节电流采样及斜坡补偿电路 (44)

4.3.1 电流采样和斜坡补偿的原理 (44)

4.3.2 电路设计 (49)

4.3.3 仿真结果 (50)

第四节误差放大器电路 (51)

4.4.1 系统频率补偿的原理 (51)

4.4.2 电路设计 (55)

4.4.3 系统仿真电路的设计和模拟结果 (55)

第五节软启动模块 (59)

4.5.1 电路原理分析 (59)

4.5.2 电路设计 (60)

第五章芯片全局仿真及分析 (61)

IV

目录

第一节整体仿真电路结构 (61)

第二节仿真结果 (61)

5.2.1 软启动过程仿真 (61)

5.2.2 各种情况下输出电压模拟结果 (64)

5.2.3 稳态工作过程 (64)

5.2.4 PWM/PSM控制的自动切换 (67)

5.2.5 瞬态响应仿真 (68)

5.2.6 转换效率 (70)

第六章结论与展望 (73)

参考文献 (74)

致谢 (77)

个人简历、学术论文与研究成果 (78)

V

第一章 绪论

1 第一章 绪论

第一节 LED 原理及其驱动技术

发光二级管(Light-Emitting Diode ,LED )是一种固体光源[1],当它两端加上正向电压时,半导体中的少数载流子和多数载流子发生复合,放出的过剩能量将引起光子发射。其发光过程包括三个部分:正向偏压下的载流子注人、复合辐射和光能传输。光的波长也就是光的颜色,是由形成P-N 结的材料决定的。LED 的内在特征决定了它是最理想的光源,可以代替传统的光源,有着广泛的用途。作为一种光源,LED 具有功耗低,发光效率高,寿命长,体积小等很多优点,近年来在照明市场越来越受到重视。

图1.1给出了六只随机挑选的白光LED (分别来自两家顶级LED 产商)的电流随正向电压的变化关系曲线,这种情况下,如果用3.4V 驱动这六只LED ,相应的正向电流差别很大:10mA 至44mA [2]。可见LED 在正向导通后其正向电压的细小变动将引起电流的很大变化,并且,环境温度、老化状况等因素也影响LED 的电气性能。因为白光LED 的发光亮度与正向电流呈比例关系,所以这就要求其驱动电路在输入电压和环境温度等因素发生变动的情况下能有效控制白光LED 电流的大小。否则,白光LED 的发光亮度将会不稳定,并且,若其电流失控,使它长期工作在大电流下,将影响其可靠性和寿命,甚至使其失效。

图1.1 六个白光LED 的正向I-V 特性曲线[2]

第一章绪论

采用一个完整的白光LED照明方案,最基本的要求是LED发光亮度的稳定,以及应用在背光照明时保持整个背景屏幕上亮度的均匀。LED驱动IC的功能主要是对LED提供高效和持久的驱动。有的不仅是简单的控制与驱动,还具有智能管理功能,从而实现高效率、高性能和多种管理及保护功能。

要用LED作照明光源就要解决电源变换问题。LED实际上是一个电流驱动的低电压单向导电器件,LED驱动应具有直流控制、高效率、PWM调光、过压保护、负载断开、小型尺寸以及简便易用等特点。给LED供电的电源必须注意以下事项[3]:

(1)LED是单向导电器件,由于这个特点,就要用直流电流或者单向脉冲电流给LED供电。

(2)LED是一个具有PN结结构的半导体器件,具有势垒电势,这就形成了导通门限电压,加在LED上的电压值超过这个门限电压时LED才会充分导通。LED的门限电压一般在2.5V以上,正常工作时的管压降为3V左右。

(3)LED的电流—电压特性是非线性的,流过LED的电流在数值上等于供电电源的电动势减去LED的势垒电势后再除以回路的总电阻(电源内阻、引线电阻和LED体电阻之和)。因此,流过LED的电流和加在LED两端的电压不成正比。

(4)LED的PN结的温度系数为负,温度升高时LED的势垒电势降低。由于这个特点,所以LED不能直接用电压源供电,必须采用限流措施,否则随着LED工作时温度的升高,电流会越来越大,以至损坏LED。

(5)流过LED的电流和LED的光通量的比值也是非线性的。LED的光通量随着流过LED的电流增加而增加,但却不成正比,越到后来光通量增加得越少。因此,应该使LED在一个发光效率比较高的电流值下工作。

另外,LED也和其他光源一样,所能承受的电功率是有限的。如果加在LED 上的电功率超过一定数值,LED也可能损坏。由于生产工艺和材料特性方面的差异,同型号的LED的势垒电势以及LED的内阻也不完全一样,这就导致LED 工作时的管压降不一致,再加上LED势垒电势具有负的温度系数,因此,LED 不能直接并联使用。

第二节课题研究的背景及意义

2

第一章绪论

随着具备显著节能效益与技术潜力的高效率白光LED逐渐发展成为新时代的照明主流,白光LED驱动器已成为电源管理产品中主要门类之一。面对市场对LED产品的巨大需求,为满足不同输入电压、不同的输出电流及不同的LED 数等要求,各半导体器件公司纷纷开发出各种新型白光LED驱动器以满足市场的需要。

驱动IC的需求和LED的应用密不可分,LED的应用和技术发展,推动了驱动IC的发展。反过来,驱动技术又是提升LED照明应用水平的关键所在。LED所需电源为直流、低电压,故传统的钨丝灯泡或日光灯电源并不适合直接驱动LED灯具,必须考虑恒定的电流驱动、能源转换的效率、功率因素等各种要求。这些都对集成电路的设计、工艺及应用等诸方面的技术提出了挑战。集成电路设计、工艺等技术也因此受到严峻的考验。因此众多厂商投入大量资金和人力开展结构更加紧凑、功能更强、效率更高的LED控制、驱动IC的研发工作,从而在各个应用领域中,在技术和产品方面都有较明显的突破。

和世界LED产业比较发达的地区相比,我国在产业规模、产能和技术上都还处于一个比较下游的阶段。但是由于其本身具有的优良特性,LED光源在很多领域具有其他光源无法替代的优势。因此,研制一种新型LED光源代替传统白炽灯光源具有很大的实际意义。

第三节相关技术研究现状和发展趋势

目前,LED照明的研究和应用主要集中在两个方向上:一个是低亮度应用场合,包括手机、PDA等小型便携式电子产品的背光照明,电子仪表的照明等;另一个是需要高亮度照明的应用场合,包括大平面液晶的背光照明、汽车用照明、家用及户外照明等,对于这种大功率的照明应用场合,LED在功耗和寿命上面的优势很明显。用小功率白光LED做LCD背光照明的驱动器在数量上是占首位的,产量极大;作为照明灯、闪光灯的中功率或大功率白光LED驱动器由于应用时间不长,还有很大的发展空间。

白光LED驱动器作为开关电源的具体应用,将往高效率、更高驱动能力、小体积、高集成度、低电磁干扰、高可靠性方向发展。在避免电磁干扰的情况下,缩小储能器件的体积,提高效率依然是未来发展的重点。随着新技术的成熟,LED驱动控制将与电源技术、太阳能等技术有机地结合[4]。

3

第一章绪论

(1)提高电源转换效率。提高电源的转换效率意味着降低电源的损耗。开关电源的损耗主要包括两种:开关元件导通时,电流流经开关的导通电阻,产生导通损耗;开关元件在导通、截止之间转换时,由开关管的栅源电容充放电引起的开关损耗。针对减少这两项损耗,分别发展了同步整流技术和软开关拓扑结构。

(2)提高驱动能力。照明用LED驱动的应用和发展促使LED驱动能力的提高,但是大功率必然导致散热、转换效率的降低。研究过程中涉及驱动能力、转换效率、芯片集成度与成本的折中。

(3)小体积化。白光LED驱动器的体积越来越小。随着集成度的提高,白光LED驱动器等开关电源所需要的外围元件越来越少;随着开关频率的提高,系统所需要的电感、电容元件值降低,电感、电容元件占用的体积减小。

(4)提高集成度。集成开关电源已成为电源设计的主流,代表着稳压电源的发展方向,被誉为高效节能电源。发展到今天,功率集成电路控制芯片把控制电路和功率开关集成到一起,外部仅需少量的电感、电容元件就可方便地构成开关电源。可以预期,随着电感元件在芯片上集成技术的日渐成熟,白光LED 驱动器等开关电源系统的集成度会更高。

(5)提高可靠性和控制精度。芯片都集成有过压、过流、过温等保护控制单元,确保芯片安全可靠工作。控制方式由初期的电压单环反馈控制发展到电压、电流双反馈环控制,其中基于平均电流控制的PWM控制技术能实现对电感电流平均值的精确控制,已成功应用在功率因素矫正电路中。此外,还出现了电荷控制技术等。

第四节论文结构与主要内容

本文的主要工作是:设计一款高效率、宽负载电流范围的Boost型白光LED 驱动芯片,采用峰值电流模式PWM/PFM相结合的控制方式。其输入电压范围为2.6~5V,输出电压范围VIN+1.4V~12.5V,最大输出驱动电流为1A,芯片的工作频率625kHz或1.25MHz可调,在宽输出电流范围内转换效率均大于80%。LED驱动连接可采用串联,并联和串并结合的方式,可灵活运用。使用Cadence Spectre仿真软件对所设计芯片的各个模块及整体性能参数进行了仿真与优化,最终的结果很好地验证了本文设计方案的可行性。

4

第一章绪论

第一章是绪论,目的在于介绍LED驱动的一些基本知识和本课题研究的意义。本章首先对白光LED作了一个简要介绍,其次介绍了它的优势、研究现状和市场前景。

第二章介绍了LED驱动电路的基本原理和三种主要拓扑结构,其中重点分析了用于LED驱动芯片的Boost变换器的基本原理,介绍了Boost变换器线路的组成和基本工作过程,对PWM电流模式DC/DC做了简单的建模分析并得出其系统传递函数。

第三章是驱动芯片的系统结构和技术要点。详细介绍了本文所设计的LED 驱动芯片的整体结构及相关技术指标,然后介绍了本文采用的几种关键技术,如PWM/PFM混合控制技术、开关频率选择技术等。

第四章先对各子电路进行了详细的分析,然后借助仿真工具对电路进行了完整的设计和模拟仿真。给出了部分电路结构及仿真结果。

第五章是整体电路的模拟仿真及分析。

第六章是全文总结及展望。

5

第二章LED驱动电路原理

第二章LED驱动电路原理

第一节LED驱动芯片的分类

从供电电压的高低可以将驱动器分成三类[5]:由电池供电,电压一般低于5V,主要用于便携式电子产品,驱动小功率及中功率白光LED,它主要采用升压式(或升降压式)DC/DC转换器或电荷泵转换器,少数采用LDO电路的驱动器;大于5V供电,如6V、9V、12V、24V(或更高),由稳压电源或电瓶供电,它主要用降压式或升降压式DC/DC转换器,主要驱动LED灯;直接由市电供电(110Vac或220Vac)或相应的高压直流电,如40~400V,主要用于驱动大功率白光LED灯,采用降压式DC/DC转换器驱动电路。

按LED驱动方式来分类有两种:恒压驱动和恒流驱动。由于LED使用场合不一样,提供的电源大小和性质也不一样。手电筒、矿灯等使用直流电源供电且电压较低,而照明等则使用交流电源供电且电压较高。这就要求LED驱动电路的芯片选择视情况而定,如果是直流供电场合应选择DC/DC转换器,交流供电场合选择AC/DC转换器,它们都要求有稳定的输出电压或电流。另外,大多数手持设备的电池电压都不足以驱动LED,所以需要升压转换。但是对于一些高压直流电源,则需要降压转换。

按照电路的拓扑结构来划分,可以分为:线性结构(LDO)、电容式开关结构和电感式开关结构。采用LDO式的驱动器是较少的,但它具有无须外围元件及价位低等优点,其缺点是转换效率略低,并且电池往往不能用到终止放电电压就要充电。过去认为只有电感式DC/DC转换器可输出较大的电流;近年来,电荷泵式驱动器可输出的电流已从几百毫安上升到1.2安培,并且两者在转换效率上也不相上下,因此,这两种类型的驱动器的产量也差不多。

第二节电路拓扑结构

2.2.1 线性结构(LDO)

6

第二章 LED 驱动电路原理

7

线性结构的优点是成本低、封装小、外围器件少,缺点是在某些应用条件下效率低、而且只能完成降压的功能[6]。LDO 结构基本由基准电压源、电压误差放大器、PMOS 调整管、比例电阻R1和R2等模块组成。通常LDO 电路中还有过温保护和过流保护等电路,防止温度过高和电流过大。

基于LDO 的驱动电路结构如图2.1所示,整个电路分为3个部分:带隙基准电压源、运放和输出调整管。从图中可以得到输出驱动电流和采样电阻以及基准电压的关系: s

R ref V I LED (2.1)

图2.1 基于LDO 的LED 驱动器

LDO 产生恒定电流的基本原理是通过箝位一个串联电阻两端的压降,并将该压降作为一个负反馈电压,运放把反馈电压与基准电压比较,得到控制功率MOS 管的栅极驱动电路,使其输出恒定的LED 驱动电流,其本质是一个线性控制的转换器。运算放大器将采样电阻两端的电压箝位在Vref ,同时把电阻R S 的压降采样反馈到运算放大器,当输出驱动电流小于设定值Vref/R S 时,电阻R S 两端的压降小于基准电压Vref ,运算放大器输出电压抬高,强迫功率管输出更大的电流;相反,当输出驱动电流大于设定值时,电阻R S 两端的压降大于基准

第二章LED驱动电路原理

电压Vref,此时运算放大器输出电压降低,使功率管输出电流减小。直到功率MOS管的输出电流等于设定值时,整个电路达到平衡,此时作为负载的LED上流过的电流恒定,从而实现驱动LED的目的。

由于流过LED的电流全部流过功率MOS管和采样电阻,为了减少功耗和保证高的转换效率,集成的MOS管必须具有很小的导通电阻,采样电阻也必须尽可能的小,为了达到输出电流的精度,基准电压必须具有高的电源抑制比和低的温度系数。但是由于使用了线性调整的方式,因此在驱动外部LED时几乎不需要附加元器件,整个应用电路结构非常简单。

与其它两种结构相比,采用LDO式的驱动电路来驱动LED是比较少的,其缺点是转换效率比较低,并且电池往往不能用到终止放电,电压就要充电,但是它无须其它外围元件,而且成本也比较低。

2.2.2 电容式开关结构

这种驱动电路是采用泵式电容器(电荷泵)来实现DC/DC转换功能的变换器,其无需电感元件,只需电容作为储能元件,通过改变电荷泵的拓扑结构来实现升压、降压和反转电压功能。其工作频率高、体积小、重量轻、效率高、电磁干扰少,其缺点是有限的输入输出电压比以及有限的输出电流能力。

升压式开关电容变换器电路中的模块有:开关阵列、逻辑电路和比较器等。

图2.2 基于电荷泵的LED驱动电路

8

第二章 LED 驱动电路原理

9

基于电荷泵的LED 驱动电路[7][8]如图2.2所示,其工作原理是通过最小电压选择模块来比较LED 的阴极电压,选择最小的电压与基准电压Vref 进行比较,当最小电压的值小于基准电压Vref 时,则输出控制信号到电荷泵模块,控制电荷泵模块开始工作,使输出电压升高,从而达到使LED 稳定工作的目的。

2.2.3 电感式开关结构

开关电源电路由于需要电感元件,因而体积较大。在现有的半导体制造工艺条件下,电感元件很难集成到芯片内部,因而不利于系统的集成。但是,这种结构的效率很高,LED 的驱动电压可以任意调整,LED 的驱动电流最大可以达到十几安培,很适用于大电流的LED 驱动芯片设计。

根据不同的外围拓扑结构,常用的电感式开关结构[9]有升压型(Boost )电感式DC/DC 变换器、降压型(Buck )电感式DC/DC 变换器和反转型(Boost-Buck )电感式DC/DC 变换器。

REF

图2.3 基于BOOST 型电感式DC/DC 的LED 驱动电路

基于Boost 型电感式DC/DC 的LED 驱动电路如图2.3所示,主要由电子开关器件,电感和电容组成。电子开关有快速导通和关断两种状态,且切换迅速、导通电阻很小以使损耗较低,目前集成电路中常用功率场效应管。电感是开关电源中常用的器件,由于它的电压、电流相位不同,因此理论损耗为零。电感为储能元件,也常用于平滑电流,也称为扼流线圈,其特点是流过其上的电流有很大的惯性,也就是电感上的电流是连续的。电容也是开关电源的基本元件

第二章LED驱动电路原理

之一,与电感一样,也是储存和传递电能的元件,但对频率的特性与电感刚好相反,它主要用来吸收纹波,具有平滑电压波形的作用。

整个系统构成负反馈环路,使OTA另一端电压等于参考电压V REF,所以流过发光二极管和电阻R SNS的电流都为V REF/R SNS,调节电阻便可以得到不同的LED驱动电流。

第三节Boost型DC/DC相关知识

2.3.1 升压型开关电源原理

图2.4(a)所示的是一个考虑电感寄生电阻的简化的升压DC/DC开关转换器原理图。主要由功率开关管SW、电感L、续流二极管D、输出滤波电容C以及负载R组成。当功率开关管SW导通时,由于其导通电阻R DS (ON)很小,续流二极管D、输出滤波电容C以及负载R被短路,电源与电感L和功率开关管SW 组成闭合回路,随着放电时间的增加,流过电感L的电流也增加,电能转化为磁能储存在电感中,电容C给负载R供电,如图2.4(b)所示;当功率开关管SW 截止后,由于流过电感L的电流不能突变,在开关管截止瞬时电感两端的电压反相,使续流二极管D导通,电感通过续流二极管D向电容和负载R释放能量,部分磁能转化成电能储存在滤波电容C上,如图2.4(c)所示。功率MOSFET作开关调整元件,它的导通与关断由控制电路决定;L为升压电感;D为续流二极管;C为滤波电容。通常情况下,电感和单向导通二极管以及电容通常采用外围元器件,因此实际上DC/DC电源管理芯片就是实现集成功率开关MOS晶体管和对应的开关控制电路。

10

第二章 LED 驱动电路原理

11

R

R R OUT (b)(c)

图2.4 考虑电感寄生效应的升压型DC/DC 变换器结构

(a )原始变换器,(b )开关SW 处于ON 时的等效电路,(c )SW 处于OFF 时的等效电路 下面重点分析Boost 型电感式DC/DC 的工作过程:

(1)开关管SW 导通时,等效电路如图2.4(b)所示。电源V IN 给电感L 充电,电容C 给负载R 提供电流。这时电感电压和电容电流分别为:

L IN L R t i V t v ?-=)()( (2.2)

R

t v t i OUT C )()(-= (2.3) 假定电感电流和电容电压的纹波相对于它们的直流值I L 和V OUT 来说很小,即采用小纹波近似(Small ripple approximation), 则式(2.2)和(2.3)可以简化为:

L L IN L R I V t v ?-≈)( (2.4)

R

V t i OUT C -≈)( (2.5) (2)开关管SW 关断时,等效电路如图2.4(c)所示。电感L 两端电压反向,

第二章 LED 驱动电路原理

12

该电压V L 与输入电源电压V IN 叠加后,通过升压二极管D 和滤波电容C 加到负载两端。忽略升压二极管D 的导通压降,同样,采用小纹波近似,这时电感电压和电容电流分别为:

OUT L L IN OUT L IN L V R I V t v R t i V t v -?-≈-?-=)()()( (2.6)

R

V I R t v t i t i OUT L OUT C -≈-=)()()( (2.7) 利用电感伏—秒平衡原理和电容电荷平衡原理[10]对式(2.4)~(2.7)进行分析可得:

0)1()()(=?-?-?-+???-S O U T L L IN S L L IN T D V R I V T D R I V (2.8)

0)1()()(=?-?-+??-S OUT L S OUT T D R

V I T D R V (2.9) 消去未知量I L 得:

R

D R D V V L IN OUT ?-+?-=2)1(1111 (2.10) 理想情况下,电感的寄生电阻R L 为0,式(2.10)可以简化为:

D

V V IN OUT -=11 (2.11) 由上式可以看出,这种电路的输出电压V OUT 高于输入电压V IN ,所以将其称为升压DC/DC 变换器。只要将开关导通与截止的占空比D 设置得足够大,输出电压可以无穷大。然而实际中存在很多非理想特性,升压范围不可能无限大。例如,考虑到电感的寄生电阻R L ,升压范围就会受到限制。以R L /R 为参变量,根据式(2.10),绘制出占空比D 与升压比V OUT /V IN 的关系图[10],如图2.5所示。当R L 大于0时,V OUT /V IN 是一个有限值,与R L /R 的值密切相关,而并非随占空比D 的增大而无限增大。

大功率LED的驱动电路设计(PT4115应用)

大功率LED 的驱动电路设计(PT4115应用) 摘要:LED (light emitting diode )即发光二极管,是一种用途非常广泛的固体发光光源,一种可以将电能转化为光能的电子器件。由于LED 具有节能、环保、使用寿命非常长,LED 元件的体积非常小,LED 的发出的光线能量集中度很高,LED 的发光指向性非常强,LED 使用低压直流电即可驱动,显色性高(不会对人的眼睛造成伤害)等优点,LED 被广泛应用在背光源、照明、电子设备、显示屏、汽车等五大领域。而且随着LED 研发技术的不断突破,高亮度、超高亮度、大功率的LED 相继问世,特别是白光LED 的发光效率已经超过了常用的白炽灯,正朝着常照明应用的方向发展,大有取代传统的白炽灯甚至节能灯的趋势。 本论文主要介绍采用恒流驱动方式实现驱动电路,并且提出一种基于恒流驱动芯片PT4115的高效率的大功率LED 恒流驱动解决方案。该种驱动电路简单、高效、成本低,适合当今太阳能产品的市场化发展。。 关键词:大功率LED ;驱动电路;恒流驱动芯片PT4115 一、LED 主要性能指标: 1)LED 的颜色:目前LED 的颜色主要有红色,绿色,蓝色,青色,黄色,白色,暖白,琥珀色等其它的颜色; 2)LED 的电流:一般小功率的LED 的正向极限电流多在20mA 。但大功率LED 的功率至少在1W 以上,目前比较常见的有1W 、3W 、5W 、8W 和10W 。1W LED 的额定电流为350mA,3W LED 的750mA 。 3)LED 的正向电压:LED 的正极接电源正极,负极接电源负极。一般1W 的大功率LED 的正向电压为3.5V~3.8V 。 4)LED 的反向电压:所允许加的最大反向电压。超过此值,发光二极管可能被击穿损坏 LED 发光强度:光源在给定方向的单位立体角中发射的光通量定义为光源在该方向的(发)光强(度),单位为坎德拉(cd )。 5)LED 光通量:光源在单位时间内发射出的光量称为光源的发光通量。单位为流明(lm)。如1W 大功率LED 的光通量一般为60~80LM 。 6)LED 光照度:1流明的光通量均匀分布在1平方米表面上所产生的光照度.,单位为勒克斯(lx)。 7)LED 显色性:光源对物体本身颜色呈现的程度称为显色性,也就是颜色逼真的程度。 8)LED 的使用寿命:LED 一般可以使用50,000小时以上。 9)LED 发光角度:二极管发光角度也就是其光线散射角度,主要靠二极管生产时加散射剂来控制。 二、大功率LED 的驱动方式: LED 驱动简单的来讲就是给LED 提供正常工作条件(包括电压,电流等条件)的一种电路,也是LED 能工作必不可少的条件,好的驱动电路还能随时保护LED ,避免LED 被损坏。 LED 驱动通常分为以下三种方式: (1) 镇流电阻驱动:就是简单的的在LED 变LED 的驱动电流.。 LED 的工作电流为: R U U I L -= 所以I 与镇流电阻R 成反比;当电源电压U 时,R 能限制I 的过量增长,使I 不超出LED

高效率开关电源设计实例.pdf

高效率开关电源设计实例--10W同步整流B u c k变换器 以下设计实例中,包含了各种技巧来提高开关电源的总体效率。有源钳位和元损吸收电路的设计主 要依靠经验来完成的,所以不在这里介绍。 采用新技术时必须小心,因为很多是有专利的,可能需要直接付专利费给专利持有人,或在购买每 一片控制IC芯片时,支付附加费用。在将这些电源引入生产前,请注意这个问题。 10W同步整流Buck变换器 应用 此设计实例是PWM设计实例1的再设计,它包括了如何设计同步整流器(板载的10W降压Buck 变换器)。 在设计同步整流开关电源时,必须仔细选择控制IC。为了效率最高和体积最小,一般同步控制器在 系统性能上各有千秋,使得控制器只是在供应商提到的应用场合中性能较好。很多运行性能的微妙 之处不能确定,除非认真读过数据手册。例如,每当作者试图设计一个同步整流变换器,并试图使 用现成买来的IC芯片时,3/4设计会被丢弃。这是因为买来的芯片功能或工作模式往往无法改变。 更不用说,当发现现成方案不能满足需求时,是令人沮丧的(见图20的电路图)。 设计指标 输入电压范围: DC+10~+14V 输出电压: DC+5.0V 额定输出电流: 2.0A 过电流限制: 3.0A 输出纹波电压: +30mV(峰峰值) 输出调整:±1% 最大工作温度: +40℃ “黑箱”预估值 输出功率: +5.0V*2A=10.0W(最大) 输入功率: Pout/估计效率=10.0W/0.90=11.1W 功率开关损耗 (11.1W-10W) * 0.5=0.5W 续流二极管损耗: (1l.lW-10W)*0.5=0.5W 输入平均电流 低输入电压时 11.1W/10V=1.1lA 高输入电压时: 11.1W/14V=0.8A 估计峰值电流: 1.4Iout(rated)=1.4×2.0A=2.8A 设计工作频率为300kHz。

LED驱动电路的设计与制作

自动化学院 电子基础课程设计任务书 系班学生: 课题名称:LED驱动电路的设计与制作 课题要求:一、1、工作电源:交流220伏 2、LED功率为3W 二、完成原理图、PCB图设计 三、完成安装及调试。 四、写出设计报告。 课题内容: 第一周:查找相关资料;方案设计。 第一周:设计原理图、PCB图。 第二周:完成安装及调试。撰写报告 主要参考资料: [1].王庆主编. Protel99SE & DXP 电路设计教程. 电子工业出版, 2006.6 [2].康华光等. 电子技术基础(模拟部分第五版).高等教育出版社, 1999.6 [3].康华光等. 电子技术基础(数字部分第五版).高等教育出版社, 1999.6 时间:2009年1月5日

自动化学院 电子基础课程设计评分标准 平时表现评分:(20%) 优秀:(90-100) 遵守纪律,尊敬老师,爱护设备,工作量饱满,动手能力强,无缺勤,很好按课题进度进行。 良好:(80-89) 遵守纪律,爱护设备,工作量饱满,动手能力较强,考勤情况良好,较好按课题进度进行。 中等:(70-79) 遵守纪律,爱护设备一般,工作量一般,动手能力一般,偶尔缺勤,基本按课题进度进行。 及格:(60-69) 遵守纪律一般,人为因素损坏设备,工作量一般,动手能力差,偶尔缺勤,能按课题进度进行。 不及格:(59以下) 不遵守纪律,人为因素损坏设备,有技术安全事故,工作量不饱满,动手能力很差,经常迟到,早退,缺勤。 课题完成情况评分:(50%) 优秀:(90-100) 全部完成任务书要求,完成质量优良、结果正确,所完成的设计有一定的独立见解。 良好:(80-89) 全部完成任务书要求,完成情况良好,所完成的设计正确,解决了一些实际问题,结果正确。 中等:(70-79) 基本完成任务书要求,完成质量尚好,所完成的设计基本正确,但存在一些不足。 及格:(60-69) 基本完成任务书要求,完成质量尚好,所完成的设计基本正确,但有小错误。 不及格:(59以下) 未完成任务书要求,所作的设计有严重错误,基本概念不清。 电子基础课程设计报告质量评分(30%) 1、文献资料收集、整理、分析;对课题研究意义的阐述;文字精练、流畅、绘图整洁、符合标准规范、字体工整; 2、基本概念、基本理论及专业知识掌握扎实,运用灵活;设计思路、设计内容、计算方法及结果、计算机运用正确无误; 3、试验数据的获取(软件调试方法及过程)试验过程(调试过程)的正确性; 4、电子基础课程设计的结论,存在的问题,研究结果的创新性;

高效率开关电源设计实例

高效率开关电源设计实 例 文档编制序号:[KKIDT-LLE0828-LLETD298-POI08]

高效率开关电源设计实例--10W同步整流B u c k变换器 以下设计实例中,包含了各种技巧来提高开关电源的总体效率。有源钳位和元损吸收电路的设计主要依靠经验来完成的,所以不在这里介绍。 采用新技术时必须小心,因为很多是有专利的,可能需要直接付专利费给专利持有人,或在购买每一片控制IC芯片时,支付附加费用。在将这些电源引入生产前,请注意这个问题。 10W同步整流Buck变换器 应用 此设计实例是PWM设计实例1的再设计,它包括了如何设计同步整流器()。 在设计同步整流开关电源时,必须仔细选择控制IC。为了效率最高和体积最小,一般同步控制器在系统性能上各有千秋,使得控制器只是在供应商提到的应用场合中性能较好。很多运行性能的微妙之处不能确定,除非认真读过数据手册。例如,每当作者试图设计一个同步整流变换器,并试图使用现成买来的IC芯片时,3/4设计会被丢弃。这是因为买来的芯片功能或工作模式往往无法改变。更不用说,当发现现成方案不能满足需求时,是令人沮丧的(见图20的电路图)。 设计指标 输入电压范围: DC+10~+14V 输出电压: DC+ 额定输出电流: 过电流限制: 输出纹波电压: +30mV(峰峰值) 输出调整:±1% 最大工作温度: +40℃ “黑箱”预估值 输出功率: +*2A=(最大) 输入功率: Pout/估计效率=/= 功率开关损耗* 0.5= 续流二极管损耗:*= 输入平均电流 低输入电压时/10V= 高输入电压时:/14V=0.8A 估计峰值电流: 1.4Iout(rated)=1.4×2.0A=2.8A 设计工作频率为300kHz。

开关电源PCB设计流程及布线技巧

开关电源PCB设计流程及布线技巧在任何开关电源设计中,PCB板的物理设计都是最后一个环节,如果设计方法不当,PCB可能会辐射过多的电磁干扰,造成电源工作不稳定,以下针对各个步骤中所需注意的事项进行分析: 一、从原理图到PCB的设计流程 建立元件参数-》输入原理网表-》设计参数设置-》手工布局-》手工布线-》验证设计-》复查-》cam输出。 二、参数设置 相邻导线间距必须能满足电气安全要求,而且为了便于操作和生产,间距也应尽量宽些。最小间距至少要能适合承受的电压,在布线密度较低时,信号线的间距可适当地加大,对高、低电平悬殊的信号线应尽可能地短且加大间距,一般情况下将走线间距设为8mil。焊盘内孔边缘到印制板边的距离要大于1mm,这样可以避免加工时导致焊盘缺损。当与焊盘连接的走线较细时,要将焊盘与走线之间的连接设计成水滴状,这样的好处是焊盘不容易起皮,而是走线与焊盘不易断开。 如图:

三、元器件布局 实践证明,即使电路原理图设计正确,印制电路板设计不当,也会对电子设备的可靠性产生不利影响。例如,如果印制板两条细平行线靠得很近,则会形成信号波形的延迟,在传输线的终端形成反射噪声;由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,因此,在设计印制电路板的时候,应注意采用正确的方法。每一个开关电源都有四个电流回路: (1)电源开关交流回路 (2)输出整流交流回路 (3)输入信号源电流回路 (4)输出负载电流回路输入回路 通过一个近似直流的电流对输入电容充电,滤波电容主要起到一个宽带储能作用;类似地,输出滤波电容也用来储存来自输出整流器的高频能量,同时消除输出负载回路的直流能量。所以,输入和输出滤波电容的接线端十分重要,输入及输出电流回路应分别只从滤波电容的接线端连接到电源;如果在输入/输出回

开关电源设计步骤(精)

开关电源设计步骤 步骤1 确定开关电源的基本参数 ① 交流输入电压最小值u min ② 交流输入电压最大值u max ③ 电网频率F l 开关频率f ④ 输出电压V O (V ):已知 ⑤ 输出功率P O (W ):已知 ⑥ 电源效率η:一般取80% ⑦ 损耗分配系数Z :Z 表示次级损耗与总损耗的比值,Z=0表示全部损耗发生在初级, Z=1表示发生在次级。一般取Z=0.5 步骤2 根据输出要求,选择反馈电路的类型以及反馈电压V FB 步骤3 根据u ,P O 值确定输入滤波电容C IN 、直流输入电压最小值V Imin ① 令整流桥的响应时间tc=3ms ② 根据u ,查处C IN 值 ③ 得到V imin 步骤4 根据u ,确定V OR 、V B ① 根据u 由表查出V OR 、V B 值 ② 由V B 值来选择TVS 步骤5 根据Vimin 和V OR 来确定最大占空比Dmax V OR D m a x = ×100% V OR +V I m i n -V D S (O N ) ① 设定MOSFET 的导通电压V DS(ON) ② 应在u=umin 时确定Dmax 值,Dmax 随u 升高而减小 步骤6 确定C IN ,V Imin 值

步骤7 确定初级波形的参数 ① 输入电流的平均值I A VG P O I A VG= ηV Imin ② 初级峰值电流I P I A VG I P = (1-0.5K RP )×Dmax ③ 初级脉动电流I R ④ 初级有效值电流I RMS I RMS =I P √D max ×(K RP 2/3-K RP +1) 步骤8 根据电子数据表和所需I P 值 选择TOPSwitch 芯片 ① 考虑电流热效应会使25℃下定义的极限电流降低10%,所选芯片的极限电流最小值 I LIMIT(min)应满足:0.9 I LIMIT(min)≥I P 步骤9和10 计算芯片结温Tj ① 按下式结算: Tj =[I 2RMS ×R DS(ON)+1/2×C XT ×(V Imax +V OR ) 2 f ]×R θ+25℃ 式中C XT 是漏极电路结点的等效电容,即高频变压器初级绕组分布电容 ② 如果Tj >100℃,应选功率较大的芯片 步骤11 验算I P IP=0.9I LIMIT(min) ① 输入新的K RP 且从最小值开始迭代,直到K RP =1 ② 检查I P 值是否符合要求 ③ 迭代K RP =1或I P =0.9I LIMIT(min) 步骤12 计算高频变压器初级电感量L P ,L P 单位为μH 106P O Z(1-η)+ η L P = × I 2P ×K RP (1-K RP /2)f η 步骤13 选择变压器所使用的磁芯和骨架,查出以下参数: ① 磁芯有效横截面积Sj (cm 2),即有效磁通面积。 ② 磁芯的有效磁路长度l (cm ) ③ 磁芯在不留间隙时与匝数相关的等效电感AL(μH/匝2) ④ 骨架宽带b (mm ) 步骤14 为初级层数d 和次级绕组匝数Ns 赋值 ① 开始时取d =2(在整个迭代中使1≤d ≤2) ② 取Ns=1(100V/115V 交流输入),或Ns=0.6(220V 或宽范围交流输入) ③ Ns=0.6×(V O +V F1) ④ 在使用公式计算时可能需要迭代 步骤15 计算初级绕组匝数Np 和反馈绕组匝数N F ① 设定输出整流管正向压降V F1 ② 设定反馈电路整流管正向压降V F2 ③ 计算N P

小型LCD背光的LED驱动电路设计

小型LCD背光的LED驱动电路设计 过去几年来,小型彩色LCD 显示屏已经被集成到范围越来越宽广的 产品之中。彩色显示屏曾被视为手机的豪华配置,但如今,即便在入门级手机 中,彩屏已成为一项标配。幸好,手机产业的经济规模性(全球手机年出货量接 近10 亿部)降低了LCD 彩色显示屏的成本,并使它们集成在无论是便携医疗设备、通用娱乐遥控器、数字相框/彩色LCD 显示屏需要白色背光,以便用户在 任何光照环境下都能正常地观看。这个背光子系统包括1 个高亮度白光发光二 极管(LED)阵列、1 个扩散器(diffuser)以扩散光线和1 个背光驱动器将可用电能 稳压为恒定电流以驱动LED.一块1 到1.5 英寸的显示屏可能包含2 到4 个LED,而一块3.5 英寸显示屏则可能轻易地就包含6 到10 个LED.对于LED 而言,其光 输出与电流成正比,而且由于LED 具有非常陡峭的电流-电压(I-V)曲线,流过LED 的电流紧密匹配是非常重要,这样才能确保均衡背光,因为LED 通常分 布在LCD 显示屏的一边。此外,也需要软件控制让用户调节亮度,以及针对 周围光照环境作出补偿。根据流经LED 电流的不同,LED 的色点(color point) 可能会漂移。因此,将LED 电流设定为固定值并对LED 进行脉宽调制以降低 平均光输出就很普遍。要在手持产品设计中集成小型彩色LCD 显示屏并进而 实现成本、性能和电池寿命的恰当平衡,存在着一系列需要考虑的因素。 电池供电产品需要优化的LED 驱动电路架构,这些架构要处理并存的 多项挑战,如空间受限、需要高能效,以及电池电压变化-既可能比LED 的正 向电压高,也可能低。常用的拓扑结构有两种,分别是LED 采用并联配置的 电荷泵架构/恒流源架构和LED 采用串联配置的电感升压型架构。这两种方案 都有需要考虑的折衷因素,如升压架构能够确保所有LED 所流经的电流大小 相同但需要采用电感进行能量转换,而电荷泵架构使用小型电容进行能量转换,

开关电源设计流程

率较大的开关电源一般使用半桥或者全桥变换器拓扑。 2.2.设计原理图,制作PCB印制板 原理图设计时应考虑整体的元件布局,使阅读者一目了然。在PCB印制板设计的过程中要严格按照国家的安全标准进行设计,同时需要重点考虑的噪声干扰包括:EM I 干扰、功率开关管产生的高频噪声。 PCB板的设计过程中应考虑到地线、高压线的电流密度,功率开关管的高频线与其它走线之间的距离,一般不小于3mm,元件的PCB封装与实际生产元件封装一致,以便于生产。元件的放置符合美观、实用的标准;元件与元件之间应紧凑,以提高开关电源的功率密度,降低生产成本(特殊元件除外)。 2.3.变压器的设计 变压器是整个开关电源的核心器件,所以变压器的设计及验证是非常重要的环节。 2.3.1.磁芯和骨架的选择 当我们的电路拓扑选定后,就要确定电路的工作频率和变压器磁芯的尺寸大小,确保在变压器体积最小的情况先获得最大的输出功率。 首先我们确定需要的引脚数,变压器的输出、输入,辅助绕组的引脚来确定骨架的引脚数,输出有单路和多路,变压器一般采用夹绕的方法以增加线圈的耦合度。 其次选择磁芯材料是主要参考材料铁损(单位一般为毫瓦/立方厘米)随频率和峰值磁通密度变化的曲线。大多数变压器的磁芯的材料为铁氧体,因为它有很高的电阻率,所以铁氧体的涡流损耗很低。 2.3.2.根据变压器计算公式计算变压器的初级线圈匝数 变压器初级匝数计算公式: N P =V in(min) ×T on(max) /(ΔB×A e ) N P :变压器初级线圈的匝数。 V in(min) :输入直流电压的最小值(V)。 T on(max) :功率开关管导通时间的最大值(S)。 A e :磁芯面积(m22)。 ΔB:由磁芯本身材料决定。一般取1600G,因为当震荡频率大于50KHz的时候, 高损耗材料会产生过量的磁芯损耗,这就使可选择的B max 值变小,因此经过对比选择增量ΔB的值为1600G(1G=10-4-4T)。

LED点阵驱动电路设计

电子技术基础课程设计说明书题目:8x8 LED点阵驱动电路设计 学生姓名:王涉华 学号: 201306050122 院(系):理学院 专业:电子科学与技术 指导教师:戴庆瑜 2015 年 12 月 28日

目录 1 选题背景 (1) 1.1 基本设计任务 (1) 1.2 发挥设计任务 (1) 1.3 设计原理 (1) 1.4 方案论证 (1) 2 电路设计 (2) 2.1 电路设计框图 (2) 2.2 工作原理 (3) 3 各主要电路及部件工作原理 (3) 3.1 555多谐振荡电路 (3) 3.2 74HC161引脚图及工作原理 (5) 3.3 74HC138引脚图及工作原理 (6) 3.4 74HC573引脚图及工作原理 (7) 3.5 AT28C16引脚图及相关参数 (7) 3.6 上电复位及开关手动复位电路设计 (8) 3.7 8x8共阴点阵 (9) 3.8 74HC04引脚图及功能 (10) 4 原理总图 (12) 5 元件清单 (13) 6 调试过程及测试数据(采用分模块调试) (13) 6.1 通电前检查 (13) 6.2 复位电路及手动开关复位电路的调试 (13) 6.3 NE55的调试 (14) 6.4 AT28C16的调试 (14) 6.5 结果观察调试 (15) 7 电路实物 (15) 7.1 整体实物电路展示 (15) 7.2 电路功能部分展示 (16) 8 小结 (19) 9 设计体会及改进意见 (19) 9.1 设计体会 (19) 9.2 设计不足 (19) 9.3 设计改进意见 (19) 参考文献 (20)

1 选题背景 LED 点阵显示是利用发光二极管点阵模块或像素单元组成的平面式显示方式。目前,由于成本及实用性的优势,以LED半导体发光器件为显示介质的大型显示屏在公共场合的广告宣传、通告发布等方面已得到广泛的应用,其驱动方式也随着技术的逐渐成熟而变得丰富多样,且各具特色。一个大型LED显示屏由上万个甚至更多的LED单元构成,而如何控制这些单一的单元按照我们的预期呈现显示内容,即LED的单元驱动电路的设计便显得尤为重要。如何设计一个既能满足显示要求又能尽量节省成本的LED驱动电路呢?在这里,我以8x8点阵为例进行研究。 1.1 基本设计任务 (1)能够显示0~9、a~z或A~Z,显示字符数量不少于8个; (2)能手动或自动循环显示字符。 1.2 发挥设计任务 可实现显示内容的左右移动。 1.3 设计原理 通过控制555单稳态触发器输入脉冲频率信号,再通过计数器作为存储器的输入,以存储器和译码器作为高低电平的输入,进而控制加在点阵 LED灯两端的电压,这样就可以实现LED的亮灭控制。 1.4 方案论证 方案一:以74HC161和74HC138构成顺序脉冲发生器,输出作为共阴8x8点阵的横向驱动,纵向驱动由三态门74HC244控制存储器AT28C16的输出来进行调节,三态门控制存储器的八位输出只有一位有效,其它处于高阻状态,依次循环。用两组8输出计数器74HC161作为AT28C16的地址输入,其中一组为另一组置位,每次可点亮一个灯,需要八分之一个字节,只需设置64个灯的总的点亮时间小于人眼的分辨时间(大概为0.02s),利用人眼

开关电源的制作流程

开关电源的制作流程 开关电源(Switch Mode Power Supply,SMPS)具有高效率、低功率、体积小、重量轻等显著优点,代表了稳压电源的发展方向,现已成为稳压电源的主流产品。开关电源的设计与制作要求设计者具有丰富的实践经验,既要完成设计制作,又要懂得调试、测试与分析等。本文章介绍开关电源组成及制作、调试所需的基本步骤和方法。 第一节开关电源的电路组成 开关电源一般是指输入与输出隔离的电源变换器,包括AC/DC电源变换器和DC/DC电源变换器,也称为AC/DC开关电源和DC/DC开关电源。非隔离式DC/DC变换器也属于开关电源,通常称之为开关稳压器。 1、AC/DC开关电源的组成 AC/DC开关电源的典型结构如图1-1-1所示。电源由输入电磁干扰(EMI)滤波器、输入整流/滤波电路、功率变换电路、PWM控制器电路、输出整流/滤波电路和输出电压反馈电路组成。 图1-1-1 AC/DC开关电源的典型结构 其中输入整流/滤波电路、功率变换电路、输出整流/滤波电路和PWM控制器电路是主要电路,其他为辅助电路。有些开关电源中还有防雷击电路、输入过压/欠压保护电路、输出过压保护电路、输出过流保护电路、输出短路保护电路等其他辅助电路。 2. DC/DC开关电源的组成 DC/DC开关电源的组成相对AC/DC开关电源要简单一点,其典型结构如图1-1-2所示。电源由输入滤波电路、功率变换电路、PWM控制器电路、输出整流/滤波电路和输出电压反馈电路组成。当然,有些DC/DC开关电源也会包含其他辅助电路。 图1-1-2 DC/DC开关电源的典型结构

第二节开关电源的制作流程 开关电源的设计与制作要从主电路开始,其中功率变换电路是开关电源的核心。功率变换电路的结构也称开关电源拓扑结构,该结构有多种类型。拓扑结构也决定了与之配套的PWM控制器和输出整流/滤波电路。下面介绍开关电源设计与制作一般流程。 1.解定电路结构(DC/DC变换器的结构) 无论是AC/DC开关电源还是DC/DC开关电源,其核心都是DC/DC变换器。因此,开关电源的电路结构就是指DC/DC变换器的结构。开关电源中常用的DC/DC变换器拓扑结构如下: (1)降压式变换器,亦称降压式稳压器。 (2)升压式变换器,亦称升压式稳压器。 (3)反激式变换器。 (4)正激式变换器。 (5)半桥式变换器。 (6)全桥式变换器。 (7)推挽式变换器。 降压式变换器和升压式变换器主要用于输入、输出不需要隔离的DC/DC变换器中;反激式变换器主要用于输入、输出需要隔离的小功率AC/DC或DC/DC变换器中;正激式变换器主要用于输入/输出需要隔离的较大功率AC/DC或DC/DC变换器中;半桥式变换器和全桥式变换器主要用于输入/输出需要隔离的大功率AC/DC或DC/DC变换器中,其中全桥式变换器能够提供比半桥式变换器更大的输出功率;推挽式变换器主要用于输入/输出需要隔离的较低输入电压的DC/DC或DC/AC变换器中。 顾名思义,降压式变换器的输出电压低于输入电压,升压式变换器的输出电压高于输入电压。在反激式、正激式、半桥式、全桥式和推挽式等具有隔离变压器的DC/DC变换器中,可以通过调节高频变压器的一、二次匝数比,很方便地实现电源的降压、升压和极性变换。此类变换器既可以是升压型,也可以是降压型号,还可以是极性变换型。在设计开关电源时,首先要根据输入电压、输出电压、输出功率的大小及是否需要电气隔离,选择合适的电路结构。 2.选择控制电路(PWM) 开关电源是通过控制功率晶体管或功率场效应管的导通与关断时间来实现电压变换的,其控制方式主要有脉冲宽度调制、脉冲频率调制和混合调制三种。脉冲宽度调制方式,简称脉宽度调制,缩写为PWM;脉冲频率调制方式,简称脉频调制,缩写PFM;混合调制方式,是指脉冲宽度与开关频率均不固定,彼此都能改变的方式。 PWM方式,具有固定的开关频率,通过改变脉冲宽度来调节占空比,因此开关周期也是固定的,这就为设计滤波电路提供了方便,所以应用最为普通。目前,集成开关电源大多采用此方式。为便于开关电源的设计,众多厂家将PWM控制器设计成集成电路,以便用户选择。开关电源中常用的PWM控制器电路如下: (1)自激振荡型PWM控制电路。 (2)TL494电压型PWM控制电路。 (3)SG3525电压型PWM控制电路。 (4)UC3842电流型PWM控制电路。 (5)TOPSwitch-II系列的PWM控制电路。 (6)TinySwitch系列的PWM控制电路。 3.确定辅助电路

LED可调驱动电路电源设计

LED可调驱动电源课程设计 院系: 年级专业: 姓名: 指导教师: 学号: 日期: LED驱动电源课程设计

一、设计规格 1、设计一个恒流LED驱动电路,电流值为350mA 2、设计一个调光电路,PWM波的占空比由20%~80%可调 3、整个驱动电路有9V供电 4、LED电压4-8V 5、电路效率90% 二、设计过程 1、画原理图

2、原理描述 A、555芯片构成的PWM脉宽调制电路 PWM称之为脉冲宽度调制信号,利用脉冲的宽度来调整亮度,也可用来控制DC马达。 PWM脉冲宽度调制信号的基本频率至少约400HZ-10KHZ,当调整LED的明或暗时,这个基本的频率不可变动,而是改变这个频率上方波的宽度,宽度越宽则越亮、宽度越窄则越暗。 PWM是控制LED的点亮时间,而不是改变输出的电压来控制亮度。 以下为PWM工作原理: Reset接脚被连接到+V,因此它对电路没有作用。当电路通电时,Pin 2 (触发点)接脚是低电位,因为电容器C2开始放电。这开始振荡器的周期,造成第3接脚到高电位。当第3接脚到高电位时,电容器C2开始通过R1和对二极管D2充电。当在C2的电压到达+V

的2/3时启动接脚6,造成输出接脚(Pin3)跟放电接脚(Pin7)成低电位。 当第3接脚到低电位,电容器C2起动通过R1和D1的放电。当在C2的电压下跌到+V的1/3以下,输出接脚(Pin3)和放电接脚(Pin7)接脚到高电位并使电路周期重复。 Pin 5并没有被外在电压作输入使用,因此它与0.01uF电容器相接。 电容器C2通过R1及二极管,二极管一边为放电一边为充电。充电和放电电阻总和是相同的,因此输出信号的周期是恒定的。工作区间仅随R1做变化。 PWM信号的整体频率在这电路上取决于R1和C2的数值。公式:频率(Hz)= 1.44/(R1 * C2) B、HV9910B构成的恒流驱动电路 HV9910B是PWM高效率LED驱动IC。它允许电压从8VDC一直到450VDC而对HBLED有效控制。HV9910B通过一个可升至300KHz的频率来控制外部的MOSFET,该频率可用一个电阻调整。LED串是受到恒定电流的控制而不是电压,如此可提供持续稳定的光输出和提高可靠度。输出电流调整范围可从MA级到 1.0A。HV9910B使用了一种高压隔离连接工艺,可经受高达450V的浪涌输入电压的冲击。对一个LED串的输出电流能被编程设定在0和他的最大值之间的任何值,它由输入到HV9910B的线性调光器的外部控制电压所控制。 调光: 有两种方式可实现调光,取决于不同的应用,可以单独调节也可

高效率开关电源设计实例

高效率开关电源设计实例 1 0 W同步整流Buck变换器 以下设计实例中,包含了各种技巧来提高开关电源的总体效率。有源钳位和元损吸收电路 的设计主要依靠经验来完成的,所以不在这里介绍。 采用新技术时必须小心,因为很多是有专利的,可能需要直接付专利费给专利持有人,或在购买每一片控制IC芯片时,支付附加费用。在将这些电源引入生产前,请注意这个问题。 10W同步整流Buck变换器 应用 此设计实例是PW履计实例1的再设计,它包括了如何设计同步整流器(板载的10W降压 Buck变换器)。 在设计同步整流开关电源时,必须仔细选择控制IC。为了效率最高和体积最小,一般同步 控制器在系统性能上各有千秋,使得控制器只是在供应商提到的应用场合中性能较好。很多运行性能的微妙之处不能确定,除非认真读过数据手册。例如,每当作者试图设计一个同步整流变换器,并试图使用现成买来的IC芯片时,3/4设计会被丢弃。这是因为买来的芯片功能或工作模式往往无法改变。更不用说,当发现现成方案不能满足需求时,是令人沮丧的(见图20的电路图)。 设计指标 输入电压范围:DC+10- +14V 输出电压:DC+5.0V

额定输出电流:2.0A 过电流限制:3.0A 输出纹波电压:+30mV (峰峰值) 输出调整:土1% 最大工作温度:+40 C “黑箱”预估值 输出功率:+5.0V *2A=10.0W最大) 输入功率:Pout/估计效率=10.0W^0.90=11.1W 功率开关损耗(11.1W-10W) * 0 . 5=0.5W 续流二极管损耗:(1I.IW-10W) *0.5=0.5W 输入平均电流 低输入电压时11.1W / 10V=1.1IA 高输入电压时:11.1W/ 14V=0. 8A 估计峰值电流:1 . 4lout(rated)=1 . 4X 2. 0A=2. 8A 设计工作频率为300kHz。

开关电源控制环设计过程大揭秘

开关电源控制环设计过程大揭秘 1. 绪论 在开关模式的功率转换器中,功率开关的导通时间是根据输入和输出电压来调节的。因而,功率转换器是一种反映输入与输出的变化而使其导通时间被调制的独立控制系统。由于理论近似,控制环的设计往往陷入复杂的方程式中,使开关电源的控制设计面临挑战并且常常走入误区。下面几页将展示控制环的简单化近似分析,首先大体了解开关电源系统中影响性能的各种参数。给出一个实际的开关电源作为演示以表明哪些器件与设计控制环的特性有关。测试结果和测量方法也包含在其中。 2. 基本控制环概念 2.1 传输函数和博得图 系统的传输函数定义为输出除以输入。它由增益和相位因素组成并可以在博得图上分别用图形表示。整个系统的闭环增益是环路里各个部分增益的乘积。在博得图中,增益用对数图表示。因为两个数的乘积的对数等于他们各自对数的和,他们的增益可以画成图相加。系统的相位是整个环路相移之和。 2.2 极点 数学上,在传输方程式中,当分母为零时会产生一个极点。在图形上,当增益以20dB每十倍频的斜率开始递减时,在博得图上会产生一个极点。图1举例说明一个低通滤波器通常在系统中产生一个极点。其传输函数和博得图也一并给出。

2.3 零点 零点是频域范围内的传输函数当分子等于零时产生的。在博得图中,零点发生在增益以20dB每十倍频的斜率开始递增的点,并伴随有90度的相位超前。图2 描述一个由高通滤波器电路引起的零点。 存在第二种零点,即右半平面零点,它引起相位滞后而非超前。伴随着增益递增,右半平面零点引起90度的相位滞后。右半平面零点经常出现于BOOST和 BUCK-BOOST转换器中,所以,在设计反馈补偿电路的时候要非常警惕,以使系统的穿越频率大大低于右半平面零点的频率。右半平面零点的博得图见图3。 3.0 开关电源的理想增益相位图 设计任何控制系统首先必须清楚地定义出目标。通常,这个目标是建立一个简单的博得图以达到最好的系统动态响应,最紧密的线性和负载调节率和最好的稳定性。理想的闭环博得图应该包含三个特性:足够的相位裕量,宽的带宽,和高增益。高的相位裕量能阻尼振荡并缩短瞬态调节时间。宽的带宽允许电源系统快速响应线性和负载的突变。高的增益保证良好的线性和负载调节率。

LED电源驱动电路的基本设计详解

LED电源驱动电路的基本设计详解 LED电源驱动电路解析随着白光LED的诞生及其迅速发展,LED开始进入普通照明阶段。LED是一种固态冷光源,是继白炽灯、荧光灯和高强度放电灯(HID)之后出现的第四代电光源。现已普遍应用于建筑物照明、街道照明、景观照明、标识牌、信号灯、以及住宅内的照明等领域中。 LED 供电的原始电源目前主要有三种:即低压电池、太阳能电池和交流市电电源。无论是采用哪一种原始电源,都必须经过电源变换来满足LED 的工作条件。这种电源变换电路,一般来说就是指的LED 驱动电路。在LED 太阳能供电系统中,还需要蓄电池或超级电容器,用以储存太阳能。在夜晚需要照明时,蓄电池或超级电容器再通过控制电路放电,为LED驱动电路供电。 太阳能和风能与LED 的结合,是LED 应用的一大亮点,它将为第三世界的贫困和边远地区带来光明,让绿色照明的光辉照亮世界的每一个角落。 一、低压直流供电的LED驱动电路1.当输入电压高于LED电压时 当输入电压高于LED或LED串的电压降时,通常采用线性稳压器或开关型降压稳压器。(1)线性稳压器 线性稳压器是一种DC-DC 降压式变换器。LED 驱动电路所采用的线性稳压器大都为低压差稳压器(LDO),其优点是不需要电感元件,所需元件数量少,不产生EMI,自身电压降比较低。但是与开关型稳压器相比,LDO的功率损耗还是较大,效率较低。LDO在驱动350mA以上的大功率LED串时,往往需要加散热器。 (2)开关型降压(buck)稳压器 基于单片专用IC 的开关型降压稳压器需要一个电感元件。许多降压稳压器开关频率达1MHz以上,致使外部元件非常小,占据非常小的空间,效率达90%以上。但这种变换器会产生开关噪声,存在EMI问题。图1所示是基于Zetex 公司ZXSC300的3W LED 降压型驱动电路。其中的RCS为电流传感电阻,D1为1A的肖特基二极管。在6V的输入电压下,通过LED的电流达1.11A.ZXSC300 采用5 引脚SOT23 封装。

LM2734大功率LED恒流驱动电路的设计

大功率LED 恒流驱动电路的设计虽然大功率LED 现在还不能大规模取代传统的白炽灯,但它们在室内外装饰、特种照明方面有着越来越广泛的应用,因此掌握大功率LED 恒流驱动器的设计技术,对于开拓大功率LED 的新应用至关重要。LED 按照功率和发光亮度可以划分为大功率LED、高亮度LED 及普通LED。一般来说,大功率LED 的功率至少在1W 以上,目前比较常见的有1W、3W、5W、8W 和10W。已大批量应用的有1W 和3W LED,而5W、8W 和10W LED 的应用相对较少。预计大功率LED 灯会在2008年奥运会上大量应用,因此电子和照明行业都非关注LED 照明新技术的发展应用。 恒流驱动和提高LED 的光学效率是LED 应用设计的两个关键问题,本文首先介绍大功率LED 的应用及其恒流驱动方案的选择指南,然后以美国国家半导体(NS)的产品为例,重点讨论如何巧妙应用LED 恒流驱动电路的采样电阻提高大功率LED 的效率,并给出大功率LED 驱动器设计与散热设计的注意事项。 驱动芯片的选择 LED 驱动只占LED 照明系统成本的很小部分,但它关系到整个系统性能的可靠性。目前,美国国家半导体公司的LED 驱动方案主要定位在中高端LED 照明和灯饰等市场。灯饰分为室内和室外两种,由于室内LED 灯所应用的电源环境有AC/DC 和DC/DC 转换器两种方式,所以驱动芯片的选择 也要从这两方面考虑。 图1:利用DC/DC 稳压器FB 反馈端实现从恒压驱动(左图)到恒流驱动(右图)的转换。 1.AC/DC 转换器 AC/DC 分为220V 交流输入和12V 交流输入。12V 交流电是酒店中广泛应用的卤素灯的电源,现有的LED 可以在保留现有交流12V 的条件下进行设计。针对替代卤素灯的设计,美国国家半导体L M2734的主要优势是体积小、可靠性高、输出电流高达1A,恰好适合卤素灯灯口直径小的特点。2004.01.01研发部 paulzheng

如何设计高效率开关电源

如何设计高效率开关电源 开关电源中广泛用于我们的生活中,高效率的开关电源越来越受市场的青睐。如何提高电源效率,成了电源设计时的重大课题。本文将为你解读NTC 热敏电阻的使用方法,以及通过减小NTC 自身损耗提升电源效率的方法。 下图是一个较为完整的开关电源框架图:包括EMI 电路,输入,输出整流电路,PFC 电路,PWM 驱动电路,保护电路,变压器转换等。 想要提高开关电源的效率,首先需要了解开关电源在工作中存在哪些地方的损耗。开关电源的损耗主要有输入整流器损耗,开关管损耗和缓冲电路损耗, 控制,检测驱动和保护电路损耗,变压器和电感损耗,滤波电容器的损耗,多级电源变换的损耗,不合理控制方式的损耗,线路损耗等。 如何使用用继电器减小热敏电阻(NTC)损耗: 在AC-DC 的开关电源设计中,工程师常常会在到AC 输入端加个热敏电阻(NTC )来降低电源启动时浪涌电流冲击给电源带来的损害。事实上热敏电阻在电源正常工作后电流持续流过会给电源带来一定的损耗。比如使用一个25℃时为10Ω的NTC 热敏电阻,假设滤波电容的等效串联电阻为1Ω,那么浪涌电流的大小将相应的降到十分之一左右,可见NTC 的阻值越大限制浪涌电流的效果越好。但是NTC 得阻值越大相对应的给电源带来的损耗也就越高。如下图所示电路: L N 上图所示的是一个输出100W 的AC-DC 电源前端电路的一部分,假设Z1使用一个25℃时为10Ω的NTC,在刚接通电源时,NTC 电阻将会有2W 左右的功率损耗: I 平均=P 总/V 有效值=100w/200V=0.45A

P损耗=I平2*R=0.45A*0.45A*10=2W 随后随着电流流过NTC热敏电阻,温度逐步升高,使用负温度系数的电阻在温度达到85℃的时候,电阻将会降到2Ω左右,在热敏电阻上长期损耗将会在0.4W左右。假设100W 开关电源效率为80%,那么热敏电阻上损耗的占比将会是[0.4W/(100W/0.8)]*100%=0.32%。单个器件就达到0.32%占比的损耗,对于高效率开关电源来说是不予许出现的,所以在设计时需要考虑如何去降低NTC带来的损耗,又要保证电源浪涌冲击性能。我们可以在电路中增加继电器,在电源启动后通过后续供电来使继电器动作,通过减小流过热敏电阻上的电流来降低损耗。如下图所示电路: L N 上图所示的是在NTC上并联一个继电器J1,电源启动时NTC起到防浪涌冲击作用,当电源正常工作后,通过三极管Q1导通继电器J1,继电器J1导通给电源供电,减小了流过NTC上的电流,达到减小NTC损耗作用。 电源电路通电的瞬间,外部电源的的能量首先转移到输入滤波电容上。使用NTC热敏电阻可以限制浪涌电流,但NTC自身的损耗将会直接影响到电源设计效率。所以在高效率开关电源设计中中常常会增加继电器去减小NTC的自身损耗。 提高开关电源工作效率有多种方法,降低NTC热敏电阻自身损耗只是电路设计中的一小点。在后续的文章中,将会进一步的通过电路设计,具体的讲解如何减小电路或者器件带来损耗。降低开关电源损耗,除整流器的损耗外其他都可以用措施降低损耗,利用软开关方法降低开关管的开关损耗;采用同步整流器降低低压输出的整流器导通损耗;采用低功耗控制集成电路芯片降低控制电路损耗;采用无附加电路的零电压/零电流开关,消除软开关的附加电路损耗,采用零电压/零电流开关同步整流器降低同步整流器的开关损耗和栅极驱动损耗。 致远电子自主研发、生产的隔离电源模块已有近20年的行业积累,目前产品具有宽输入电压范围,隔离1000VDC、1500VDC、3000VDC及6000VDC等多个系列,封装形式多样,兼容国际标准的SIP、DIP等封装。同时致远电子为保证电源产品性能建设了行业内一流的测试实验室,配备最先进、齐全的测试设备,全系列隔离DC-DC电源通过完整的EMC测试,静电抗扰度高达4KV、浪涌抗扰度高达2KV,可应用于绝大部分复杂恶劣的工业现场,为用户提供稳定、可靠的电源隔离解决方案。

高效小型化开关电源设计方案

高效小型化开关电源设计方案 时间:2009-08-19 2668次阅读【网友评论0条我要评论】收藏 1 引言 开关电源是利用现代电力电子技术,控制开关晶体管开通和关断的时间比率,维持稳定输出电压的一种电源。从上世纪90年代以来开关电源相继进入各种电子、电器设备领域,计算机、程控交换机、通讯、电子检测设备电源、控制设备电源等都已广泛地使用了开关电源。随着电源技术的发展,低电压,大电流的开关电源因其技术含量高,应用广,越来越受到人们重视。在开关电源中,正激和反激式有着电路拓扑简单,输入输出电气隔离等优点,广泛应用于中小功率电源变换场合。跟反激式相比,正激式变换器变压器铜损较低,同时,正激式电路副边纹波电压电流衰减比反激式明显,因此,一般认为正激式变换器适用在低压,大电流,功率较大的场合。 2 基本技术 2.1 有源钳位技术 正激DC/DC变换器其固有缺点是功率晶体管截止期间高频变压器必须磁复位。以防变压器铁心饱和,因此必须采用专门的磁复位电路。通常采用的复位方式有三种,即传统的附加绕组法、RCD钳位法、有源钳位法。三种方法各有优缺点:磁复位绕组法正激变换器的优点是技术成熟可靠,磁化能量可无损地回馈到直流电路中去,可是附加的磁复位绕组使变压器结构复杂化,变压器漏感引起的关断电压尖峰需要RC缓冲电路来抑制,占空比D<0.5,功率开关管承受的电压应力与输入电源电压成正比。RCD钳位正激变换器的优点是磁复位电路简单,占空比D可以大于0.5,功率开关管承受电压应力较低,但大部分磁化能量消耗在钳位电阻中,因此它一般适用于变换效率不高且价廉的电源变换场合。有源钳位技术是三种技术中效率最高的技术,它的电路图如图1所示,工作原理如图2所示。在DT时段之前,开关管S1导通,激磁电流iM为负,即从Cr通过S1流向Tr,在DT阶段,开关管S的驱动脉冲ugs使其导通,同时ugs1=0,使S1 关断,在Vin 的作用下,激磁电流由负变正,原边功率通过变压器传到副边,给输出端电感L 充电;在(1-D)T时段,ugs=0,S关断,ugs1到来使 S1导通,iM通过S1的反并二极管向Cr充电,在Cr和Tr漏感构成的谐振电路的作用下,iM由正变负,变压器反向激磁。从以上分析中可以看出:有源钳位正激变换器变压器铁心工作在双向对称磁化状态,提高了铁心利用率,钳位电容的稳态电压随开关占空比而自动调节,因而占空比可大于50%;Vo一定时,主开关、辅助开关应力随Vin 的变化不大;所以,在占空比和开关应力允许的范围内,能够适应较大输入电压变化范围的情况。不足之处是增加了一个管子,使得电路变得复杂。

相关主题
文本预览
相关文档 最新文档