当前位置:文档之家› 70kta己内酰胺环己酮肟化过程的计算机模拟

70kta己内酰胺环己酮肟化过程的计算机模拟

70kta己内酰胺环己酮肟化过程的计算机模拟
70kta己内酰胺环己酮肟化过程的计算机模拟

湖南大学

硕士学位论文

70kt/a己内酰胺环己酮肟化过程的计算机模拟

姓名:鲁金辉

申请学位级别:硕士

专业:化学工艺

指导教师:梁志武

20040511

硕士学位论文

摘要

HPO法生产己内酰胺是应用最广泛的己内酰胺合成工艺,该生产流程主要包括环己酮制备,环己酮肟化和重排三大工序。其中环己酮肟化工序是HPO法的核心技术和关键工序,该工序以产肟为目的,并实现无机工艺液和有机工艺液的有效循环。本文以化工过程模拟软件AspenPlus为模拟工具,建立了中石化巴陵分公司HPO法生产己内配胺流程中环己酮肟化装置的计算机仿真模型。

以50kt/a己内酰胺装置的设计工艺数据包为基础,建立50kt/a己内酰胺环己酮肟化过程的仿真计算模型。根据实际设备的类别选择最适合的模块、过程计算方法、状态方程,对部分需要回流的物流参数进行估计,对各个模块需要设定的参数进行计算。在此基础上,通过连接各单元的模型建立全流程的仿真模型。所得结果与实际生产提供的数据较好的吻合,表明所建立的模型性能可靠。

运用上述模型,为达到工厂现有70k'da生产规模的要求,以逆序进行的方式,即从流程生产中最后一个产品的单元操作模块开始算起,进行比例放大或者缩小,使生产的产品达到要求的量。然后逐步往前计算完成该生产过程的仿真设计,获得了完整的工艺参数,提高了设计结果的准确性和可靠性。

应用AspenPlus软件对有机循环系统的甲苯肟蒸馏单元的精馏塔进行工艺模拟分折,并提出了单塔流程设计方案。通过分折单塔流程塔设备的理论塔板数、进料位置和回流比对精馏效果的影响,确定新流程的最佳工艺条件,并将该条件下的设备能耗和投资与原流程设备进行对比,结果表明新流程更有优势。对无机循环系统的无机工艺液净化单元进行了工艺参数分析,定量的分析了进料温度和进料量对萃取塔萃取效果的影响,以及再沸器蒸汽流量对汽提塔分离效果的影响。结果可以用来指导工厂生产操作调优,并为扩能改造和瓶颈问题处理等提供依据。

关键词:EIPO法,己内酰胺;环己酮肟;AspenPlus:计算机模拟

Abstract

HPOprocesswhichiscomposedofcyclohexanonepreparation,hydroxylamine

oximationandcyclohexanone‘oximerearrangementhasbeen

appliedwidelyinCaprolactamIndustry.Thehydroxylamineoximationinsuchprocessiskeyprogramtopreparethecyclohexanone—oximeandundertaketheeffectualcirculationofinorganicprocessliquid(IPL)andorganicprocessliquid(OPL).

Asteady—statesimulationmodelwassetupforthehydroxylamineoximationofHPOprocessforBaLingFilialeofChinaPetro.LTDbyusingAspenPlusinthisthesis.Asimulatingdesignof50kt/aoximationofhydroxylamineofHPOprocessbasedOUthedatafromtheintroduceddesigndatawassetup.Accordingtothepracticalequipment,themodel、themethodofsimulatedcalculationandstatusequationwereselectedproperly;theparametersofsomestreamneededrefluxwerereconciled;theessentialparametersofsomemodelsneededinputweredefined.Byunitingthemodelofallunits,acomputersimulatedmodelofthewholeprocesswassetupandcalibrationiscarriedoutforthepresent50kt/aprocess.Thecalculationresultswerewellconsistent

晰也thefactorydam,whichshowedthatthemodelswere

applicable.

Byuseofthemodelwecarriedoutsuccessfullyasimulatingdesignof70kt/aprocessonthenewrequirementofproductratioandobtainedcompleteprocessparameterswit}lamuchhigheraccuracy,reliabilityandproductivity.Thedesignof70kt/aHPOprocessisbecarriedonbyinversedmethodcomparedwiththe50kt/aHPOprocess.thewholeprocessissetupfromthelastprocessmodelandthenthewholeprocessmodelisestablishedgraduallybytheamplificationandshrinkofthestreamparameters.Inaddition,theresultsmightprovidereferencetothedesigningandmanagementpersonnelwhentheydesignormodifythisprocess.ASPENPLUSwasusedtosimulateandoptimizethedistillationoftohieneandcyclohexanone?oximeinOPLcirculationsystem,byreasonthatrefluxratio,thenumberofstageandfeedstageareinfluencingthe

II

硕士学位论文

emciencyofdistillation,theyweresimulateandoptimize.TheunitofIPLPurifyinIPL

circulationsvstemWaScalculatedandanalyse.Feedtemperatureisconsequentialto

CanbeusedtoefiectofextractandvaporfluxofreboilismarkedtOthestripper.Results

researchoperatingregulationsanddirectactualproductionoperationofthethistwounits

analysisoftheenergysaving-

orbeappliedtoimprovementofequipmentor

Words:HPOProcess;Caprolactam;Cyclohexanone—oxime;AspenPtu8

Key

ComputerSimulation

【II

湖南大学

学位论文原创性声明

本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。

作者签名磊乞碍吼辨年。5月f/日

学位论文版权使用授权书

本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权湖南大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

本学位论文属于

l、保密口,在年解密后适用本授权书。

2、不保密彤

(请在以上相应方框内打“4”)

作者签名:埠02珲导师签名:日期:沙仁年蜘』旧日期:年,Et日

硕士学位论文

第1章绪言

1.1已内酰胺工业发展背景

己内酰胺是石化工业的重要产品,是合成锦纶纤维和工程塑料的单体原料,它常温下为白色晶体,熔点为69℃。1899年,加波利尔合成了己内酰胺,近百年来己内酰胺生产经历了3个不同的发展阶段【11。

1.1.1工业化生产形成时期

第一次合成出己内酰胺之后过了40年,德国I.G公司建起了第一个年产2000吨己内酰胺的工业装置【21,并用生产出的已内酰胺作原料又开发了后加工技术,建起了配套的聚合纺丝工厂,最终产品用于飞机轮胎和降落伞的制造,为第二次世界大战的参战者提供了强有力的装备。二战结束后,由于德国成为战败国,导制I.G公司解体成巴斯夫(BASF)、赫斯特(HOECHST)、拜尔(BUYER)和里约纳(LEUXA)四个公司。己内酰胺生产这一新型工业技术开始公开流传,许多国家抓住这一千载难逢的机会,索取信息,聘请人才,增加投资,开发已内酰胺工业化连续生产技术。荷兰DSM公司就是其中之一,它直接用I.G的专利建立起实验装置,以苯酚、环己烷、苯胺等原料作工艺对比实验,并于1953年1月成功地建起了一座以苯酚为原料年产6000吨己内酰胺的工厂,与此同时,环已醇脱氢制取环已酮的催化生产技术和苯酚直接加氢制取环己烷的专利也相继发表,制取羟胺的拉西法技术也己成熟并广泛使用,世界性大规模连续化生产已内酰胺的工艺技术已经形成。

1.1.2新技术开发时期

50年代,己内酰胺大规模连续化生产实现后,人们又试图用不同的原料从事开发研究新的工艺技术的工作,并都如愿已偿。1961年德国BASF公司开发了氧化氮还原工艺技术:1962年意大利苏尼亚(SNIA)公司开发出以甲苯为原料制取己内酰胺的亚硝化工艺技术;1963年日本东丽公司研究出光亚硝化工艺技术p】,而且以上新技术都建成了一定规模的生产装置。

1.113装置规模扩大时期

70年代初,由于化纤的市场需求急剧上升,很多厂家扩大了原有己内酰装置的生产规模,全世界年产量达到370多万吨【2j。荷兰DSM公司用磷酸羟胺(HPO)带0备工艺取代了原有的硫酸羟胺(uso)靠0备工艺,使生产能力达到70000

70kt/a己内酰胺环己酮肟化过程的计算机模拟

吨/年;BASF公司的氧化氮还原法从65000吨/年达到了140000吨/年;SNIA公司的亚硝化法从12000吨/年扩大到了80000吨/年:东丽公司的光亚硝化法从15000吨/年扩大到了90000吨/年,还有很多厂商还采用新的工艺技术路线新建了一些生产装置,使已内酰胺年产量成倍增加。

1.1.4己内酰胺生产工艺

目前,生产己内酰胺用的原料有苯/环己烷、苯酚、甲苯和丁二烯四种。使用苯/环己烷者最多,占所有原料的73.5%。同时,91.3%的产品己内酰胺都是从环己酮和羟胺作原料所得,工艺路线有HPO法、NO还原法、HSO法三种,统称为环己酮.羟胺工艺路线【41。8.7%的己内酰胺则完全避开环己酮和羟胺的生产工艺路线,有苏尼亚(SNIA)法、光亚硝化法(PNC)和从丁二烯出发制取已内酰胺的工艺路线”J。

1.1.4.1意大利SNIA亚硝化法

以甲苯为原料制取苯甲酸,经亚硝化反应后生产己内酰胺的苏尼亚工艺过程如图1.1,该工艺除了被苏联引进外,主要为苏尼亚(SNIA)公司自己所用141,每生产一吨己内酰胺产品副产硫胺4.2吨。

图1.1SNIA亚硝化工艺过程

硕士学位论文

1.1.4.2日本东丽光亚硝化法(PNC)

该法用苯为原料加氢成环已烷经光亚硝化后制取已内酰胺‘¨,工艺过程如图1.2。

己内酰胺

——————+

图1.2光亚硝化工艺过程

1.1,4.3硫酸羟胺法(HSO)

1887年拉西(RASCHING)用亚硝酸盐和亚硫酸盐反应经水解制取羟胺[6】获得成功,从1940年该羟胺制备法实现工业化到现在,拉西法一直是制各已内酰胺中间原料羟胺的最重要的一条工业化路线,其工艺过程如图1.3。

图l_3硫酸羟胺工艺过程

1.1.4.4磷酸羟胺(HPO)法

DSM开发T…"-‘…一……酵.,圣彤32艺一HPO,法[2,4l,该法由无机工艺液(IPL)和有机工艺液(OPL)组成循环液体,过程如图1.4。由于肟在无机工艺液和有机工艺液中以及羟胺在无机工艺液中的化学平衡反应非常复杂,而使得工业生产中的反应远比方程式所表示的情形要复杂得多,实际操作精度的要求也较高。

70kt/a已内酰胺环己酮肟化过程的计算机模拟

图1.4磷酸羟胺工艺过程

1.1.4.5从丁二烯出发合成己内酰胺的工艺

BASFNDuPont开发了一条从丁二烯出发制取己内酰胺的工艺路线[41。该212艺是通过己二腈加氢、水解生产已内酰胺,操作步骤少,流程较短。同时,在生产己内酰胺的同时,还可以联产尼龙66的中间体己二胺,关键是最后一个步骤即6一氨基已酰胺脱氨和环化步骤,用了一些新型催化剂。其流程示意如图1.5。

C忙cH_CH=毗丁二烯

i删

眦唰F洲己二腈6—氨基己腈

6一氨基己酰胺f邺邺瞄叮心昏酌醮HN—co

图1.5BAsF—DuPont从丁二烯生产己内酰胺的流程

邺∞,一卜F心肼.

室I舢m训N》至I肌

硕士学位论文

1.1.5己内酰胺肟化过程的工艺概述

环己酮肟是己内酰胺生产的一种重要的中间体,由羟胺盐与环己酮发生肟化反应制得,生成的环己酮肟再经贝克曼转位得已内酰胺。IiiS已酮肟生产方法依其羟胺盐制造过程的区别,主要有拉西法、NO催化还原法和磷酸羟胺肟化法【6]。现将这三种生产方法分别介绍如下。

1.1.5.1拉西法

拉西法是传统的制造羟胺硫酸盐的方法。国内60年代建设的己内酰胺工厂多采用拉西法。此法要点是用亚硫酸氢铵、二氧化硫还原亚硝酸钠以制造羟胺硫酸盐,其主要反应式如下:

1.羟胺合成

NaN02+NH4HSOs4-S02一HO—KS03Na

/S03NHd

N/s03№+H20—i1S04+专(NH4)2S04-bH

O--<HO--H2S04SO8。3N8

N+H20一了号S03NHd

H肛N/。S,O灿+№一NH2.。10OH-g-蛳H4)2S04+专Na一,S04Ho_N+岛一NH-(N4+去

。H一

一2,肟化

唧H专(NH。)zS吣◇。一◇一+扭So。邺哇-H2S04+NH40H—一{(N}14)2s04+H20

拉西法制造羟胺硫酸盐,起初用亚硝酸钠作原料。其副产的硫酸铵是一种与硫酸钠共存的混合物,需经过蒸发结晶,并利用两者晶体粒度之差异予以分离,制取高纯的硫酸铵和硫酸纳。

现在大多用亚硝酸铵,称为改良的拉西法。将氨氧化产生的氧化氮气体用碳酸铵溶液吸收,则得亚硝酸铵。反应式如下:

NH4N03+NH3+2S02+H20—HON(SOsNH4)2

NO+N02+(NI-hhC03—2NH4NOz+C02

HON(S03NH4)2+2H20—+时H30网.HS04+(NH4)2S04

70kt/a己内首;c胺环己酮肟化过程的计算机模拟

拉西法虽然是传统的方法,近年来也有一些发展。如意大利采用0"C的亚硫酸氢胺直接吸收氧化氯气体,制取羟胺二磺酸铵,称为直接拉西法,它与传统方法比较,能耗降低,羟胺二磺酸铵收率也有提高。

1.1.5.2NO催化还原法

德国BASF,瑞士Iventa,波兰ZakladyAzotowe等公司采用此法制造羟胺硫

酸盐¨1,主要反应如下:

4NH3+502——‘—一4NO+6H20

2NO+3H2+H2S04—一时H30H]2S04

帆。一+z◇。一2◇№Ⅷ¨迅。

在羟胺合成反应器中,一定比例的NO和H2通过悬浮有Pt/C催化剂的硫酸介质发生反应,反应温度40"0,眶力1.5×105Pa,氢气循环使用。悬浮有催化剂的硫酸羟铵溶液经多级过滤系统分离得催化剂滤浆和滤饼,滤浆返回羟胺合成,滤饼送去再生。

NO催化还原法合成羟胺时不副产硫酸铵,但在肟化时游离出硫酸用铵中和,每吨已内酰胺仍副产0.7吨硫酸铵。为了进一步减少副产硫酸铵量,BASF公司开发出丁酸式肟化法,该法是在Pt/石墨催化剂存在的条件下,在硫酸氢铵溶液中进行NO的催化加氢还原:

NO+丢H2+(NH4)HS04一(NH30H)(NH4)S04

硫酸羟胺与环已酮反应形成环已酮肟。

…一舯。+◇。一2D删一煳。邺在传统的BASF工艺中,肟化时产生的硫酸需用铵中和,以分离出环已酮肟,在回收环己酮肟时不要求进一步中和硫酸氢铵,因此,硫酸氢铵可直接返回羟胺合成过程。NO催化还原反应产物经过滤分离催化剂之后,得硫酸铵羟胺溶液,送肟化塔。在肟化塔内环已酮与羟胺溶液逆流接触反应,反应温度保持在环已酮肟熔点以上,环己酮转化率达97%~98%。再采取通常的后肟化步骤,以实现环已酮的完全转化。羟胺合成和后肟化时,也形成一些硫酸铵,向后肟化器吹入氨气,以保持铵盐浓度稳定。

硕士学位论文

1.1.5.3磷酸羟胺肟化(HPO)法

HPO法由荷兰.DSM公司的子公司Stami—carbon于1959年开始研究,1965年完成50吨/年中间试验㈨】,1971年在日本宇部兴产公司建成7万吨/年已内酰胺装置。我国岳阳和南京的引迸装置均采用DSM公司的HPO法,此法在磷酸缓冲液中催化加氢还原N03"离子来制备羟胺。此法特点是把羟胺制备与环已酮的肟化结合在一起,使肟化过程不再副产硫酸铵,主要包括三个反应过程。

(1)羟胺合成

在悬浮催化剂Pt—Pd/石墨或Pt.Pd/氧化铝的存在下,在磷酸缓冲液中,硝酸

根离子加氢还原为羟胺,形成磷酸羟胺盐。

NH4N03+2H3P04+3H2一(NI-130田H2P04+(NH4)H2P04+2H20

(2)肟化

磷酸羟胺盐和环已酮在甲苯存在下反应,形成环已酮肟。

(NH30H)H2P04+(NH4)H2P04+2H20+o

NOH+H3P04+(NHa)H2P04+3Iq20

(3)氨氧化制硝酸盐

向肟化反应后的磷酸.磷酸二氢铵水溶液中添加的硝酸由氨氧化法制取,加入硝酸使磷酸二氢铵变为磷酸和硝酸铵,再用于羟胺合成。

H3P04+(Nl-h)H2P04+H20+3I-IN03

_}bP04+NH4N03+31-120

1.2化工稳态模拟技术的发展

化工流程模拟包括稳态模拟和动态模拟【7】,通常所说的化工过程模拟或流程模拟多指稳态模拟。它是根据化工过程的稳态数据,如物料的压力、温度、流量、组成的有关的工艺操作条件、工艺规定、产品规格以及设备参数,采用适当的模拟软件,用计算机模拟实际的稳态生产过程,进行详细的物料平衡和热量平衡计算,得出人们最为关心的原材料消耗、公用工程消耗和产品、副产品的产量和质量等重要数据。化工过程模拟已成为研究、开发、设计、挖潜改造、节能增效、生产指导和企业管理等工作必不可少的工具,并且在科研和实际生产中发挥着越来越大的作用。

70kt/a己内酰胺环己酮肟化过程的计算机模拟

化工过程的稳态模拟起始于20世纪50年代,70年代则是其技术开发时代喁1。当时组建的Digitalsystem、Chemshare和SimulationScience等公司专门从事稳态模拟软件开发,美国麻省理工学院开始了ASPEN项目【8】的开发,英国帝国理工学院开始了SPEEDUP的开发工作,许多大公司也开发了自己的专用系统。80年代是大型通用稳态流程模拟软件商业化的时代,Simulationscience、Aspentech、Hyprotech和Chemstations等成为通用软件的主要供应商,计算环境己从大型机转向小型机、工作站和微机。在90年代,过程模型化和模拟的价值已获得公认,稳态模拟技术已趋成熟,在分布式的计算环境中,用户服务器得到采用。因此,90年代是稳态模拟技术进一步推广应用的时代,采用商业化软件己比用户自行开发软件在经济上更为合算。

1.2.1技术发展趋势

在化学工程领域,模型化主要应用于化工过程的分析、设计和操作。模型范围从单元装置到全厂装置,粗略地分为模块法和面向方程法两种模型化方法。在使用模块法的模型化工具时,用户使用模型化语言或图形编辑器,从模型库中选择单元模型,提供模型参数,并将这些单元模型联结成一个工厂模型[9】。虽然单元模型结构己固定,灵活性较差,但物性模型独立于单元模型,用时可随意选取,所以模块法是一个强有力的工具,可为大多数工程技术人员掌握。在使用面向方程法的模型化工具时,单元模型是一组描述该单元的数学方程组,可使用模型化语言或程序模板直接改造模型,此法极为灵活,但对用户在化学工程、模型化和模拟、数值方法以及计算机科学等方面的知识要求较高。

今后发展的趋向主要是将模块法的现有能力与方程法的灵活性在面向目标的模型化环境下结合起来,使专家和非专家都能应用。此外,神经网络【101和专家系统与传统的模型化方法的结合也将日益广泛。

1.2.2数学方法

1.2.2.1联立方程求解法

联立方程法求解的工程型尺寸和范围都在不断扩大,这也是化工过程模拟最重要的变化之一。当前,联立方程法可求解包括数十万个方程和具有数百个自由度的问题,序贯模块法模拟器己可求解包括lo万个变量的问题,被模拟的全厂模型可以包括数十万个方程和数百个优化变量[9】。可见,化学工程学科广泛受益于计算机科学和应用数学的发展。

1.2.2.2混合整数非线姓规划

最优化问题实质上是混合整数非线性规划【lll问题(MINIP)。MINLP法还不成熟,计算所需时间过长。一些新的方法是在原来的MINLP方程结构内联立求解整型变量和连续变量而形成的,为了更容易处理整型变量,这些方法可能以线

硕士学位论文

性规划而不是以二次规划作为基础。

1.2.2.3同轮收敛法

此法可避免由于初值不合适或多解性而引起的迭代发散,但计算时间较长。今后的发展方向是改进效率。

1.2.3计算环境

计算环境的改进是计算机辅助化学工程发展的重要推动力,但计算环境已不是计算机辅助化学工程的制约因素。现在,每个星期都有新的计算机硬软件的信息发布。

1.2.3.1编程语言

自50年代以来,FORTRAN一直是化学工程师广泛采用的计算机语言,但随着微机的进步,C语言日益流行,大多数新的商品化软件都以C语言编写,许多图形工具也可与C语言一起使用。另外,图形输入输出语言目前尚未标准化,今后将出现某些标准,进而被广泛应用。

在今后lO年内,大多数工程软件的供应商将应用“面向目标”的概念和方法改进现有的程序。

1.2’3.2面向目标的模型化方法

面向目标的模型化方法的成功取决于不同来源的组件能够兼容,也就是组件彼此间能够通讯,以及过程工程的定义域被模型化成为目标的集合【8】,但是该法首先需要建立组件的设计标准。

美国化学工程师学会过程数据交换研究院(PDXI)jE在建立一个标准数据交换协议【91,拟使应用程序与数据库之间、备机构与各学科之间共享过程工程数据。PDXI采用了目标模型化工具来构造过程数据的面向目标的数据模型,可作为面向目标的过程模型化标准的静态基础。

1.2.3.3软件集成

今后一个重要趋势是将稳态模拟软件与其它过程工程软件集成在一起。该集成软件将囊括过程工程的全部活动范围,即包括研究开发、过程设计、过程工程、过程控制、工厂操作(决策支持、操作培训和在线优化)等。这一集成的模型化模拟工具既包括稳态模拟,又包括过程综合和动态仿真,将支持模块法和面向方程法,使用一致性的热力学模型和数据。F此集成系统还提供图形界面和专家系统以及内装的培训和文档资料【9】,直过程模拟软件的功能更加完备,成为化学工程有利的模拟计算工具。

70kt/a己内酰胺环己酮肟化过程的计算机模拟

1.3本课题主攻方向及研究目标

1.3.1课题背景

HPO法生产己内酰胺的主要流程包括环己酮生产,环己酮肟化和重排三大工序,其中生产环己酮肟的环己酮肟化工序是HPO法的核心技术和关键单元。该工序装置以产肟为目的,并实现无机工艺液和有机工艺液的有效循环。1992年,中国石化总公司巴陵分公司(原鹰山石化)引进荷兰的HPO法【l2J己内酰胺生产装置,设计能力为50kt/a,1995年通过国家竣工验收,1997年全面达到设计标准。但是在激烈的市场竞争下,50kffa己内酰胺的生产能力所产生的效益越来越小,这就迫使扩能改造成为必由之路。1997年该公司在国内同行业中率先对引进的装置进行扩改,实现由50kt/a己内酰胺的生产能力到60kffa生产能力的改造。1999年由60kt/a到70kt/a己内酰胺的生产能力的扩能改造开车成功,经过2001年1月的大修进一步完善后,实现了70kt/a生产能力113]。2002年10月份,巴陵分公司启动将装置生产规模扩大到140kt/a的改造工程,其中生产环己酮肟的环己酮肟化工序拟采用另加一套与现有肟化装置平行的即生产能力也为70kt/a的新装置的方案,来满足全流程140kt/a的扩改需求。由于现有的70kt/a环己酮肟化装置是经过多次改造而实现70kt/a的生产规模,故现有可用于指导生产的数据是原50kt/a肟化装置的工艺包的拷贝和实际操作中的物料和能量平衡的记录,数据不够系统,为了配合140kt/a己内酰胺生产装置的扩改,现有70kt/a肟化装置需要系统可靠的参数来指导生产,实现装置高效稳定的运行,因此需要对该系统进行系统的模拟计算,从而得出可用于指导生产的一套物料平衡参数。另外,装置的优化控制也需要理论数据来指导具体操作。

1.3.2主攻目标和方法

依据现有数据,传统的设计方法能够做的也仅仅局限于对引进装置数据包进行拷贝,难以在技术上进行改造和提升,并且手工核算不仅费时费力而且准确度较低。为了在技术上有把握的完善该装置的参考数据系统,我们应用了计算机辅助设计方法,采用化工过程模拟软件AspenPlus对HPO法己内酰胺环已酮肟化装置进行模拟计算。本文的主攻目标是:

通过应用AspenPlus[10】软件,以设备原有的50kt/a生产装置设计数据为基础,建立50kt/a己内酰胺肟化过程的计算机仿真模型,对生产过程进行模拟计算,并将对部分关键模型参数进行分析,达到建成后的流程能够比较好地与工厂实际生产过程相吻合的效果,从而证明流程模拟的可行性和可信度。

应用上述仿真模型在保持原有分离设备分离效率以及假定所选的反应器反

硕士学位论文

应程度基本不变的条件下,进行现有的70kt/a生产规模的计算机仿真设计,从而得到70kffa己内酰胺环己酮肟化过程完整的工艺物流数据。

对在整个环己酮肟化工序中起着重要作用的甲苯肟精馏单元和无机工艺液净化单元进行分析计算。其中将对甲苯肟精馏单元的原有流程进行新的方案设计,并将定量分析影响新设备精馏效果的各因素:对无机循环系统中的无机工艺液净化单元各主要塔设备的影响因素进行分析和计算,定量的分析结果将对实际生产参数调优和操作有着重要的指导作用。

70kt/a己内酰胺环己酮肟化过程的计算机模拟

第2章课题研究的理论基础

2.1化工过程的稳态模拟工具软件

化工过程的稳态模拟是计算机辅助化工过程的核心,它通过物热衡算可以确定化工过程原料的用量和整个过程的经济性,稳态模拟还可以为动态模拟提供初值和起始状态,也是过程综合和优化的基础¨’81。

稳态过程模拟就是将一个由许多个单元过程组成的化工流程用数学模型描述,并在计算机上通过改变各种有效条件得到所需要结果的方法。这一方法是计算机在化工方面的最重要的应用之一。

稳态流程模拟是发展得比较成熟技术,目前最成熟的两种稳态模拟方法是贯序模块法(SequentialModular——SM)和联立方程法(EquationOriented一一EO、equation—basedorsimultaneousequationmodeling.)【l…。按照实际化工系统中工艺物流的流向对其单元操作进行逐个模块的计算即为贯序模块法:每个模块的进口需要给定,出口为计算结果,当遇到流程物料循环时,则需要反复的迭代计算,对于高度的热综合过程、高度循环的过程、规范设计的过程等过程来说是很费时的。而且因为涉及很多镶套的收敛循环,最终可能导致结果未必收敛。在解决上述情况模拟时,联立方程法是一个优秀的解决方法,该法不是按顺序计算每个模块,而是将所有的方程结合起来同时求解,因此在某些情况下,联立方程法的计算效率比贯序模块法的计算效率更高。但是由于贯序模块法115J相对容易应用,所以贯序模块法仍然是目前应用最为广泛的模拟方法。利用贯序模块法进行模拟的代表软件之一是美国麻省理工学院开发的ASPEN,现在称为

AspenPlus,这也正是我进行模拟计算的工具软件。

Plus化工模拟软件系统是美国麻省理工学院于70年代后期研制开发Aspen

的大型化工模拟软件,由美国AspenPlus技术公司80年代初推向市场,它用严格和最新的计算方法进行单元和过程的计算,为企业提供准确的单元操作模型。

Plus还可以评价已有装置的优化操作或新建、改建装置的优化设计,这套Aspen

系统功能齐全、规模庞大的模拟软件可用于化工、炼油、石油化工、气体加工、

煤炭、医药、冶金、环境保护、动力、节能和食品等许多工业领域,目前己在全

世界范围内广泛使用。

Plus是一个通用过程模拟系统,用于计算稳态过程的物料平衡、能量Aspen

平衡和设备尺寸,并对过程投资进行经济成本分析。作为第三代流程模拟软件,

硕士学位论文

AspenPlus有其鲜明的优点,如,AspenPlus支持对固体的处理,有40个通用单元操作模型,很多模型算法都严格,稳定可靠,同时系统还支持用户自定义模型

作为子程序,AspenPlus也提供了一个广泛的物性模型库用于模拟计算,对于不在数据库中的组分,AspenPlus还提供了一个数据回归系统,用以从实验数据中拟合所需的常数。另外,该软件的过程设备成本计算功能可以估价某具体的工厂投资,计算生产费用,进行经济分析,

AspenPlus可以在多种平台上运行,

以确定一个最优投资建厂的方案。

开发商提供了适合各种机型的版本,该

软件主要有以下六种用途[10】:(1)合成流程:(2)工艺参数的优化;(3)脱除瓶颈;(4)研究某些设计问题或操作问题;(5)进行参数灵敏度分析:(6)参数拟合。总之,AspenPlus是基于稳态化工模拟、优化和经济评价的大型化工流程模拟软件[41。

2.1.1AspenPlus单元操作模型

Aspen

Plus提供了一套完整的单元操作模型,可用于模拟从单个操作单元到整个流程的各种操作过程。该系统提供了33个单元操作模块[10】,大致分类如下:

1.流股混合器MIXER

2.流股分流器FSPLIT

3.固相分离器SSPLIT

4.单级平衡

(1)加热器/冷却器HEATER

(2)两出口流股闪蒸器FLASH2

(3)三出口流股闪蒸器FLASH3

5.多级平衡

(1)分离器

?组分分离器SEP

?两产品分离器SEP2

?静置液相分离器DEcANTER

(2)简捷法传质

?核算型简捷法精馏DISTL

?设计型简捷法精馏DSTwU

?复杂塔简捷法精馏SCFRAC

(3)严格法传质

?严格法精馏RADFRAC

?严格法多塔精馏MULTIFRAC

70kt/a己内酰胺环己酮肟化过程的计算机模拟

?严格法液.液萃取

?严格法石油精馏模型

?严格的二、三相分批精馏

?严格法比率连续精馏6.反应器

(1)物料平衡及闪蒸

?产率反应器

?化学计算反应器

(2)化学平衡

?化学平衡反应器

?最小Gibbs自由能反应器f3)动力学

?连续搅拌槽式反应器

?活塞流反应器

(4)间交换器

?外管式热传导间交换器

?空气冷却式间交换嚣7.热交换器

(1)两股流热交换器

(2)多股流热交换器

8。压力变化

(1)泵/水轮机

(2)压缩机/透平机

-单级

?多级

9.流股调节器

(1)流股复制器

(2)流股倍增器EXTRACT

PETROFRACBATHFRACRATEFRAC

RYIELD

RSTOIC

REQUIL

ROIBBS

RCSTR

RPLUG

HETRAN

AEROTRAN

HEATX

MHEATX

PUMP

COMPR

MCOMPR

DUPL

MULT

2.1.2AspenPlus数据库

AspenPlus数据库包括5000多种纯组分的物性数据【lo],AspenPlus的各类数据库有:

1.纯组分数据库,包括近500多种化合物参数。

2.电解质水溶液数据库,包括约250种离子和分子溶质估算电解质物性所需的参数。

硕士学位论文

3.固体数据库,包括近120种固体的固体模型参数。

4.Henry常数库,包括水溶液中61种化合物的Henry常数。

5.二元交互作用参数库,包括Redlich—Kwong.Soave,Pent.Robinaon.Lee—Kesler—Plocker,BWR—Lee-starling及Hayden—O’connell状态方程的二元交互作用

参数。

6.DIPPR数据库,包括1117种纯化合物的物性数据。

7.燃烧数据库,燃烧产物中常见的59种组分和自由基的参数。

8.Barin数据库,包括2400种无机和有机参数。

9.CSIRO数据库,包括3000种无机和有机参数。

10.DECHEMA数据库,收集了世晃上最完备的气液平衡、液液平衡数据,共计二十五万多套数据。

另外,电解质体系化学平衡计算是模拟过程中所有操作单元模拟计算的一部分。化学反应的存在增加了电解质体系处理的难度。对于此类体系,过程模拟的核心是化学平衡计算[1“。由于有溶液存在,化学反应在液相中存在的真实组分不同于表观分子组分。根据电解质体系的特征,AspenPlus中设置了电解质NRTL活度系数模型,即ELECNRTL模型。电解质NRTL活度系数模型是用于计算活度系数的多用途模型,该模型能够描述水溶液电解质体系以及混合溶剂电解质体系,能够计算水溶液电解质系统中以及混合溶剂中各种离子和各种分子的活度系数。当电解质浓度变为零时,电解质活度系数模型则简化为众所周知的NRTL模型【“l。

NRTL模型中有两个重要的模型参数:即非随机因子。。和二元交互能量参数r

ij¨”,aij一般取固定的数据,而r。一般被认为是温度的函数。AspenPluSELECNRTL模型中的电解质NRTL参数即二元交互能量参数r,它作为温度的函数分为三种:分子一分子二元参数;电解质一分子对参数;电解质一电解质对参数。

在AspenPlus电解质NRTL参数库中包含了许多参数的数据【¨J,电解质系统中溶液化学决定了溶液中真实组分种类并通过等式约束其组成,对于反应的化学平衡关系表达如下:

ln巧=∑%1nq(2.1)

式中:K,——化学平衡常数:

%——i组分化学计量系数

口。—叫组分活度。

AspenPlus电解质系统提供30多种电解质体系的数据包。

AspenPlus是采用序贯模块方法来进行流程模拟的,用户可以根据自己的需

计算机仿真技术的发展概述及认识

学院 专业 届别 课程 班级 姓名 学号 联系方式 指导老师2012年5月

计算机仿真技术的发展概述及认识 摘要:随着经济的发展和社会的进步,计算机技术高速发展,使人类社会进入了信息时代,计算机作为后期新秀渗入到人们生活中的每一个领域,给人们的生活带来了前所未有的变化。作为新兴的技术,计算机技术在人类研究的各个领域起到了只管至关重要的作用,帮助人类解决了许多技术难题。在科研领域,计算机技术与仿真技术相结合,形成了计算机仿真技术,作为人们科学研究的一种新型方法,被人们应用到各个领域,用来解决人们用纯数学方法或者现实实验无法解决的问题,对科研领域技术成果的形成有着积极地促进作用。 本文在计算机仿真技术的理论思想基础上,分析了计算机仿真技术产生的基本原因,也就是人们用计算机模拟解决问题的优点所在,讨论了模拟、仿真、实验、计算机仿真之间的联系和区别,介绍了计算机仿真技术的发展历程,并查阅相关资料介绍了计算机仿真技术在不同领域的应用,分析并预测了计算机仿真的未来发展趋势。经过查阅大量数据资料并加以分析对比,这对于初步认识计算机仿真技术具有重要意义。 关键词:计算机仿真;模拟;仿真技术;发展 Discussionand understanding of the development of computer simulation technology Abstract:In the field of scientific research, computer technology and simulation technology is the combination of computer simulation technology as a new method of scientific research applied to various fields, used to solve the problems of pure mathematical methods or practical experiments can not be solved, has a positive role in promoting the formation of scientific research and technological achievements. In the theory of computer simulation technology based on the idea of computer simulation technology to produce the basic reason people use computer simulation to solve the problem of the advantages of where to discuss the links and

环己酮肟实验报告doc

环己酮肟实验报告 篇一:制备环己酮肟的实验 50.设计合成实验的原理和步骤。 一、以环已酮和盐酸羟胺为主要原料 【实验原理】 2 NH2OH·HCl (盐酸羟胺) + Na2CO3→NH2OH+2NaCl+ H2O +CO2 本实验以环已酮和盐酸羟胺为主要原料来制备环己酮肟。羟胺在酸性条件下稳定,因此常常做成稳定的盐酸羟胺。但是本反应中制得的环己酮肟酸性条件下不稳定易分解,在碱性环境下稳定,所以本实验的反应环境是碱性环境。 本实验中碳酸钠要过量,原因是:(1)提供碱性环境,使生成物环己酮肟稳定(2)碳酸钠弱碱性,起中和作用,使羟胺从盐酸羟胺中游离出来,与环己酮进行反应。 本实验中盐酸羟胺过量要过量,原因是:若环己酮过量,环己酮和环己酮肟的后处理比较复杂,难以提纯目的产物。 【实验步骤】 1、先在锥形瓶中加水溶解适量盐酸羟胺,再加入环己酮肟混合均匀,后将碳酸钠碱液缓慢滴加到混合液中反应,直至溶液显碱性为止。观察并记录实验现象。 2.不断搅拌,反应过程中会产生大量的CO2产生并伴有白色固体析出。用TLC跟踪反应进程,直至反应完全。

3.间歇振荡15min后用冰水浴冷却。有更多白色固体析出。 4、把产物抽滤称重并记录实验数据,后把粗产物反复洗涤、过滤2-3次后再用乙醇重结晶可得纯品环己酮肟。 5、计算理论值和收率。对本次实验进行理论分析和数据分析,得出结论。 二、环已酮和氨水、双氧水为主要原料 【实验原理】 C6H5O(环己酮)+NH3.H2O+H2O2→2H2O +C6H5=NOH(环己酮肟) 本实验以环已酮和和氨水、双氧水为主要原料来制备环己酮肟。羟胺在酸性条件下稳定,因此常常做成稳定的盐酸羟胺。但是本反应中制得的环己酮肟酸性条件下不稳定易分解,在碱性环境下稳定,所以本实验的反应环境是碱性环境,要加入氨水。 NH3.H2O、H2O2过量理由:1、提供碱性环境 2、NH3.H2O、H2O2过量,产物容易分离。若环己酮过量,若环己酮过量,环己酮和环 己酮肟的后处理比较复杂,难以提纯目的产物。 【实验步骤】 1、先搭好回流装置,取一定量的环己酮、氨水、双氧水加入单口烧瓶中,混合均匀后在一定温度下反应,观察并

计算机仿真技术的发展概述及认识

计算机仿真技术的发展概述及认识 摘要:随着经济的发展和社会的进步,计算机技术高速发展,使人类社会进入了信息时代,计算机作为后期新秀渗入到人们生活中的每一个领域,给人们的生活带来了前所未有的变化。作为新兴的技术,计算机技术在人类研究的各个领域起到了只管至关重要的作用,帮助人类解决了许多技术难题。在科研领域,计算机技术与仿真技术相结合,形成了计算机仿真技术,作为人们科学研究的一种新型方法,被人们应用到各个领域,用来解决人们用纯数学方法或者现实实验无法解决的问题,对科研领域技术成果的形成有着积极地促进作用。 本文在计算机仿真技术的理论思想基础上,分析了计算机仿真技术产生的基本原因,也就是人们用计算机模拟解决问题的优点所在,讨论了模拟、仿真、实验、计算机仿真之间的联系和区别,介绍了计算机仿真技术的发展历程,并查阅相关资料介绍了计算机仿真技术在不同领域的应用,分析并预测了计算机仿真的未来发展趋势。经过查阅大量数据资料并加以分析对比,这对于初步认识计算机仿真技术具有重要意义。 关键词:计算机仿真;模拟;仿真技术;发展 一、引言 计算机仿真技术是以多种学科和理论为基础,以计算机及其相应的软件为工具,通过虚拟试验的方法来分析和解决问题的一门综合性技术。计算机仿真(模拟)早期称为蒙特卡罗方法,是一门利用随机数实验求解随机问题的方法。其原理可追溯到1773年法国自然学家G.L.L.Buffon为估计圆周率值所进行的物理实验。根据仿真过程中所采用计算机类型的不同,计算机仿真大致经历了模拟机仿真、模拟-数字混合机仿真和数字机仿真三个大的阶段。20世纪50年代计算机仿真主要采用模拟机;60年代后串行处理数字机逐渐应用到仿真之中,但难以满足航天、化工等大规模复杂系统对仿真时限的要求;到了70年代模拟-数字混合机曾一度应用于飞行仿真、卫星仿真和核反应堆仿真等众多高技术研究领域;80年代后由于并行处理技术的发展,数字机才最终成为计算机仿真的主流。现在,计算机仿真技术已经在机械制造、航空航天、交通运输、船舶工程、经济管理、工程建设、军事模拟以及医疗卫生等领域得到了广泛的应用。 二、基本概念 模拟:(Simulation)应用模型和计算机开展地理过程数值和非数值分析。不是去求系统方程的解析解,而是从系统某初始状态出发,去计算短暂时间之后接着发生的状态,再以此为初始状态不断的重复,就能展示系统的行为模式。模拟是对真实事物或者过程的虚拟。模拟要表现出选定的物理系统或抽象系统的关键特性。模拟的关键问题包括有效信息的获取、关键特性和表现的选定、近似简化和假设的应用,以及模拟的重现度和有效性。可以认为仿真是一种重现系统外在表现的特殊的模拟。 仿真:(Emulation)利用模型复现实际系统中发生的本质过程,并通过对系统模型的实验来研究存在的或设计中的系统,又称模拟。即使用项目模型将特定于某一具体层次的不确定性转化为它们对目标的影响,该影响是在项目仿真项目

计算机控制系统实验报告2

江南大学物联网工程学院 《计算机控制系统》 实验报告 实验名称实验二微分与平滑仿真实验 实验时间2017.10.31 专业班级 姓名学号 指导教师陈珺实验成绩

一、实验目的与要求 1、了解微分对采样噪音的灵敏响应。 2、了解平滑算法抑制噪音的作用。 3、进一步学习MATLAB 及其仿真环境SIMULINK 的使用。 二、仿真软硬件环境 PC 机,MATLAB R2012b 。 三、实验原理 如图微分加在正反馈输入端,计算机用D(Z)式进行微分运算。R 为阶跃输入信号,C 为系统输出。由于微分是正反馈,当取合适的微分时间常数时,会使系统响应加快。若微分时间常数过大,则会影响系统稳定性。 四、D(Z)设计 1、未平滑时的D(Z) 用一阶差分代替微分运算: )1()()()(1--==Z T T Z X Z Y Z D D 式中T D为微分时间常数,T 为计算机采样周期。 2、平滑后的D(Z) 微分平滑运算原理如图: 取Y *(k)为四个点的微分均值,有 )331(6)()()( )33(6 )5 .15.05.05.1(4)( 321321221*-----------+==∴--+=-+-+-+-= Z Z Z T T Z X Z Y Z D X X X X T T X X X X X X X X T T K Y D K K K K D K K K K D x t + ○R

五、SIMULINK仿真结构图 七、思考题 1、微分噪音与采样噪音和采样周期T有什么关系?与微分时间常数有什么关系? 2、平滑后系统输出有无改善?是否一定需要平滑?

环己酮的制备

有机化学实验报告 实验名称:环己酮的制备 学院:化学工程学院 专业:化学工程与工艺 班级: 姓名: 指导教师: 日期:2010年11月15日 一、实验目的 1、学习次氯酸氧化法制环己酮的原理和方法。 2、进一步了解醇和酮之间的联系和区别。 二、实验原理 醇类在氧化剂存在下通过氧化反应可被氧化为醛或酮。本实验用的环己醇属仲醇,因此氧化后生成环己酮。环己酮主要用于合成尼龙-6或尼龙-66,还广泛用作溶剂,它尤其因

对许多高聚物(如树脂、橡胶、涂料)的溶解性能优异而得到广泛的应用。在皮革工业中还用作脱脂剂和洗涤剂。 本实验用次氯酸钠做氧化剂,将环己醇氧化成环己酮。 三、主要试剂 环己醇、冰醋酸、次氯酸钠溶液(约1.8mol/L)、饱和亚硫酸氢钠溶液、氯化铝、碘化钾淀粉试纸、无水碳酸钠、氯化钠、无水硫酸镁、沸石 四、试剂用量规格 五、仪器装置 250mL三颈烧瓶、搅拌器、滴液漏斗、温度计、冷凝管、接受器、分液漏斗、烧杯、量筒、电热炉、石棉网、玻璃棒 图1 环己酮的反应装置

图2 环己酮的蒸馏提纯 六、实验步骤及现象 1、向装有搅拌器、滴液漏斗和温度计的250ml三颈烧瓶中依次加入5.2ml(5g,0.05mol)环己醇和25ml冰醋酸。开动搅拌器,在冰水浴冷却下,将38ml次氯酸钠溶液(约1.8mol/L)通过液滴漏斗逐渐加入反应瓶中,并使瓶内温度维持在30~35℃,加完后搅拌5min,用碘化钾淀粉试纸检验应呈蓝色,否则应再补加5ml次氯酸钠溶液,以确保有过量次氯酸钠存在,使氧化反应完全。在室温下继续搅拌30min,加入饱和亚硫酸氢钠溶液至发应液对碘化钾淀粉试纸不显蓝色为止。 2、向反应混合物中加入30ml水、3g氯化铝和几粒沸石,在石棉网上加热蒸馏至馏出液无油珠滴出为止。 3、在搅拌下向馏出液分批加入无水碳酸钠至反应液呈中性为止,然后加入精制食盐使之变成饱和溶液,将混合液倒入分液漏斗中,分出有机层,用无水硫酸镁干燥,蒸馏收集150~155℃馏分,计算产率。 七、实验结果 最终得到的环己酮为:1.6ml 产率为:1.6ml/5.2ml=30.77% 八、实验讨论 1、数据分析 产率相对较低,操作过程不够精细。 2、结果讨论 (1)、加热蒸馏得很充分,但是分液静置的时候时间不够长,导致环己酮的损失。 (2)、最后蒸馏的时候时间太短,不够充分,环己酮没有完全分离出来。 3、实际操作对实验结果的影响 (1)、反应温度要控制在30~35℃,此时收效较高,若温度低于30℃则不反应,温度

环己酮肟的制备

环己酮肟的制备(cyclohexanone oxime ) 一、实验目的: 学习用酮和羟胺的缩合反应制备肟的方法 二、实验原理: O NH 2OH HCl +N OH + HCl 三、主要试剂: 盐酸羟胺 2.5 g (35 mmol), 环己酮 2.5 g (2.7 ml, 25 mmol). 四、实验步骤: 在50 mlde 烧杯内将2.5 g 盐酸羟胺溶解于7.5 ml 水中(可以微微加热)。然后慢慢用 6 mol/L NaOH 水溶液中和(pH = 8左右)并冷却至室温。 将2.7 ml 环己酮 加入 50 ml 的圆底烧瓶中,加入4.0 ml 乙醇,在不断搅拌下,滴加上述羟胺溶液。加毕,回流20 min, 回流后如溶液中有不溶性固体杂质,则趁热减压过滤。将滤液冷却,析出晶体,过滤,干燥,称重,计算产率(一般85%)。测定产品熔点,(产品的熔点 88-89 oC )。

乙醚的制备 思考题及注意事项 204.204.实验室使用或蒸馏乙醚时应注意哪些问题? 答:在实验室使用或蒸馏乙醚时,实验台附近严禁有明火。因为乙醚容易挥发,且易燃烧,与空气混和到一定比例时即发生爆炸。所以蒸馏乙醚时,只能用热水浴加热,蒸馏装置要严密不漏气,接收器支管上接的橡皮管要引入水槽或室外,且接收器外要用冰水冷却。 另外,蒸馏保存时间较久的乙醚时,应事先检验是否含过氧化合物。因为乙醚在保存期间与空气接触和受光照射的影响可能产生二乙基过氧化物(C2H5OOC2H5),过氧化物受热容易发生爆炸。 检验方法:取少量乙醚,加等体积的2% KI 溶液,再加几滴稀盐酸振摇,振摇后的溶液若能使淀粉显蓝色,则表明有过氧化合物存在。 除去过氧化合物的方法:在分液漏斗中加入乙醚(含过氧化物),加入相当乙醚体积1/5的新配制的硫酸亚铁溶液(55 ml水中加3 ml浓硫酸,再加30g 硫酸亚铁),剧烈振动后分去水层即可。 205.205.在制备乙醚时,滴液漏斗的下端若不浸入反应液液面以下会有什么影响?如果滴液漏斗的下端较短不能浸入反应液液面下应怎么办? 答:滴液漏斗的下端应浸入反应液液面以下,若在液面上面,则滴入的乙醇易受热被蒸出,无法参与反应,造成产率低、杂质多。如果滴液漏斗下端较短而不能浸入反应液液面以下,应在其下端用一小段橡皮管接一段玻璃上去。但要注意,橡皮管不要接触到反应液,以免反应液中的浓硫酸腐蚀橡皮管。 206.206.在制备乙醚和蒸馏乙醚时,温度计被装的位置是否相同?为什么? 答:不同。在制备乙醚时,温度计的水银球必须插入反应液的液面以下。因为此时温度计的作用是测量反应温度;而蒸馏时,温度计的位置是在液面上即水银球的上部与蒸馏烧瓶的支管下沿平齐,因为此时温度计的作用是测量乙醚蒸气的温度。 207.207.在制备乙醚时,反应温度已高于乙醇的沸点,为何乙醇不易被蒸出? 答:因为此时,乙醇已与浓硫酸作用形成了盐。 CH3CH2OH + H2SO4[CH3CH2O+H2]HSO4- 该盐是离子型化合物,沸点较高,不易被蒸出。 208.208.制备乙醚时,为何要控制滴加乙醇的速度?怎样的滴加速度才

计算机仿真技术在各行业的应用

计算机仿真技术在各行业的应用 计算机仿真技术的应用范围涵盖社会的诸多方面,并为不同行业的发展均起到了不同程度的推动作用,为不同行业的发展注入了新的动力。其应用领域主要包括以下几个方面: 1计算机仿真在教育领域的应用 计算机模拟实验又称计算机仿真实验或计算机虚拟实验,是近几年在计算机多媒体教学中开辟的新领域。它通过计算机把实验设备、教学内容、教师指导和学生的操作有机地融合为一体,形成了一部活的、可操作的物理实验教科书和根据需要在瞬间建立的模拟实验室。近几年来,学校越来越重视学生的时间操作能力,计算机模拟实验则成为学生学习与考核的重要手段。计算机模拟实验的产生打破了教师与学生、理论与实践的限制,他尤为突出教学过程中的实验设计思想和实验思路,更突出学生学习的主动性。学生利用计算机模拟实验,可以提升学生对学习兴趣,对教学内容、试验方法、教学设备的结构和原理进行深入理解,进而锻炼时间操作技能。 2计算机仿真技术在交通运输领域的应用 交通是由人、车、路和环境构成的一个复杂人机系统,事故的诱发因素是多方面因素的综合。交通安全的评价,应该充分考虑人、车、路和环境诸方面因素的作用和影响。本交通安全仿真是基于虚拟现实技术的方法。该评价体系是通过建立虚拟环境,并在这个虚拟环境中设计各种事故诱发因素,并对某区域和某路段的交通安全水平进行全过程(设计后,施工中,运营后)的跟踪和评价。 计算机仿真是交通安全仿真系统的中心组成部分。该仿真系统与一般意义的数据仿真有着很大的不同。对某区域的交通安全评估上,交通安全仿真系统不仅仅使用绝对数法和事故率法来评估,它还蒋该区域人们的交通一世与行为因素也整合其中。在模拟的交通路段中,可以选择任意交通工具,设计任意的路段环境,以旁观者的视角来进行交通事故实验与分析,进而对交通路段做出相对准确的安全评估,为交通事故评估提供了一种可靠的方法。 3 计算机仿真技术在制造领域的应用 计算机仿真技术介入汽车制造业,可以有效缓解许多难度高,投资成本大的相关问题。例如计算机仿真的多缸柴油机发动机,其仿真数据与发动机实际数据高度重合,应用与多功能发动机的模拟。在汽车流场方面,计算机仿真技术可以成功的模拟出气流分离的状态,构建了空气动力学的汽车模型。在汽车碰撞方面,计算机仿真技术可依据实际的汽车碰撞事故状况与人员损伤之间的数据,构建汽车碰撞的。 本文来源于:元计算官网

计算机控制与仿真复习大纲答案整理

计算机控制与仿真总复习大纲 第一章 计算机控制系统的组成与分类。 组成:控制器,D/A转换,D/A转换,执行机构,被控对象。 分类:按功能分为:数据处理系统,直接数字控制系统,监督控制系统,分级计算机控制系统,集散控制系统 按控制规律分为:程序和顺序控制系,比例积分微分控制(PID控制),最少拍控制,复杂规律的控制,智能控制。 第二章 采样的定义,离散系统的定义; 采样:把时间上连续的信号变成时间上离散的采样信号或数字信号的过程。离散系统:当系统各个物理量随时间变化的规律不能用连续函数描述时,而只在离散的瞬间给出数值,这种系统称为离散系统。 采样周期的定义,周期采样、同步周期采样、多速率采样、随机采样的定义;相邻两次闭合瞬间的时间间隔T成为采样周期。 如果在采样过程中,采样周期T保持不变,则称为周期采样。 若整个计算机控制系统有多个采样开关,这些开关的采样周期都为相等的常数,并且所有的开关都同时开闭,则称为同步周期采样。 若各采样开关以各自不同的采样周期进行采样,则称为多速率采样。 采样间隔大小随机变换的采样称为随机采样 香农定理的内容、意义及确定ωs≥2ωmax的依据; 内容:若f(t)是一个带宽为2ωmax的有限带宽信号,则由采样信号(t)能够无失真地恢复到原信号f(t)的条件为ωs≥2ωmax。其中ωs=为采样 频率。 意义:给出了采样后的离散信号能保持原连续信号全部特征所需的最低采样频率; 依据:离散信号(采样信号)频谱的主频谱和辅频谱互相分离,不产生频谱混叠; 保持器的定义、作用,采样周期的选择原则及需考虑的因素。 保持器:将离散的采样信号恢复到原连续信号的装置。 保持器的作用:将模拟信号在t=KT时刻的采样值保持到A/D转换结束,减少转换误差。 Z变换及Z反变换,要求熟记公式1~5、10、18; Z变换的方法有:级数求和法(直接定义法)、查表法 Z反变换的方法有:长除法(要变成Z-1的形式)、部分分式法(F(z)/z)+查表; 初值定理及终值定理的应用,用Z变换求解差分方程。

计算机控制技术与系统仿真答案

第一章绪论 1.什么是计算机控制系统?它主要有哪几部分组成?各部分的作用是什么? 计算机控制系统是利用计算机(包括其他多种控制器)来实现生产过程或运动对象自动控制的系统。 计算机控制系统主要由控制系统和被控生产过程对象两大部分组成。控制系统又包括硬件和所匹配的软件。 2.简述计算机控制系统的控制过程。 实时数据采集:利用检测、变送装置,实时地对被控制量的瞬时值进行采集和输入。 实时控制决策:对采集、输入的数据进行比较、分析和处理,并按照预定的控制策略(算法)进行运算,产生决策信号。 实时控制输出:根据决策信号实时控制执行机构,实现对被控制对象的控制任务。 3.计算机控制系统的典型形式有几种?各有什么特点? (1)操作指导控制系统QICS:优点:结构简单、控制灵活和安全。缺点:由人工控制,速度受到限制,不能控制对象。(2)直接数字控制系统DDCS:优点:实时性好、可靠性高和适应性强。(3)监督控制系统SCCS:优点:生产过程始终处于最有工况。(4)集散控制系统:优点:分散控制、集中操作、分级管理、分而自治和综合协调。(5)现场总线控制系统:优点:与DOS相比降低了成本,提高了可靠性。(6)计算机集成制造系统 4.试列举计算机控制系统的最新发展趋势,说明研究计算机控制系统的重要性。 大规模及超大规模集成电路的发展,提高了计算机的可靠性和性价比,从而使计算机控制系统的应用也越来越广泛,并逐渐向智能化、网络化和集成化的方向发展。 5.略 6.略 第三章硬件设计基础 1.请说出计算机控制系统常用的主控制器有哪些?各有什么特点? 在计算机控制系统中,常用主控制器包括:工业控制计算机(IPC)、可编程序控制器(PLC)、智能工业调节器、数字信号处理器(DSP)、单片机(MCU)、ARM处理器等。IPC:性能可靠、软件丰富、价格低廉。PLC:可靠性高,抗干扰嫩力强,硬件配套齐全,功能完善,易学易用:系统的设计、安装、调试工作量小,维护方便,容易改造;体积小,重量轻,能耗低。智能工业调节器:测控精度高,抗干扰性能强,功能完备,操作简单。数字信号处理器DSP;单片机;ARM处理器:性能高、成本低、耗能省 2.总线可分为哪几类?分别用于什么场合?试各举几个。 总线按功能和规范可分为三大类型:片总线、内总线和外总线。 片总线:是把各种不同的芯片连接在一起构成特定功能模块的信息传输通路。 内总线:是微机系统中各插件之间的信息传输通路。 外总线:是微机系统之间或微机系统与其他系统之间信息传输的通路,它用于设备一级的互联。 3.计算机控制系统的开关量输出信号分别用于控制什么对象?试举例说明。 控制开关器件的闭合、断开、亮灭等,如指示灯、继电器等。 4.试用AEN(DMA地址使用信号,高电平有效)信号、地址信号A0~A9、74HCT138芯片,以及其他门电路,搭建一个地址译码电路,可寻址的地址分别为3A0H~3A7H. 5.试用PCI-1710HG总线工业板卡实现模拟量采集。画出接口电路原理图,并画出A/D转换程序。

实验10环己酮的制备

酒泉职业技术学院《工科化学实验技术》学习领域教案

环己酮的制备 一、教学要求: 学习铬酸氧化法制环己酮的原理和方法。进一步了解醇和酮之间的联系和区别。 二、预习内容: 1.实验原理 2.抽虑操作 3.盐析 三、实验原理: 实验室制备脂肪或脂环醛酮,最常用的方法是将伯醇和仲醇用铬酸氧化。铬酸是重要的铬酸盐和40-50%硫酸的混合物。仲醇用铬酸氧化是制备酮的最常用的方法。酮对氧化剂比较稳定,不易进一步氧化。铬酸氧化醇是一个放热反应,必须严格控制反应的温度,以免反应

过于激烈。环己酮主要用于合成尼龙-6或尼龙-66,还广泛用作溶剂,它尤其因对许多高聚物(如树脂、橡胶、涂料)的溶解性能优异而得到广泛的应用。在皮革工业中还用作脱脂剂和洗涤剂。 四、仪器与药品 仪器: 250ml圆底烧瓶、温度计、蒸馏装置、分液漏斗。 药品:浓硫酸、环己醇、重铬酸钠、草酸、食盐、无水碳酸钠。 四、实验步骤: 1.铬酸溶液的配制 在250mL烧杯中加入30mL水和5.5g重铬酸钠,搅拌使之全部溶解。然后在搅拌下慢慢加入4.5mL浓硫酸,将所得橙红色溶液冷却至30℃以下备用。 2. 氧化反应在250mL圆底烧瓶中加入5.5mL环己醇,然后取此铬酸溶液lml 加入圆底烧瓶中,充分振摇,这时可观察到反应温度上升和反应液由橙红色变为 墨绿色,表明氧化反应已经发生。继续向圆底 烧瓶中滴加剩余的重铬酸钠(或重铬酸钾)溶 液,同时不断振摇烧瓶,控制滴加速度,保持 烧瓶内反应液温度在60~65℃之间。若超过此 温度时立即在冰水浴中冷却。在圆底挠瓶中插 入一支温度计,并继续振摇反应瓶。这时温度 徐徐上升,当温度上升到55℃时,用水浴冷 却,并维持反应温度在60~65℃。大约0.5h 左右,当温度开始下降时移去冷水浴,室温下图1 普通蒸馏装置 放置20分钟左右,其间仍要间歇振摇反应瓶几次,最后反应液呈墨绿色。如果反应液不能完全变成墨绿色,则应加入少量草酸(0.5~1.0g或甲醇1mL)以还原过量的氧化剂。 3.在反应瓶中加入30mL水,如图1装置仪器(改用锥形瓶作接受器)进行蒸馏,收集约50mL馏出液。这一步蒸馏操作实际上是一种简化了的水蒸气蒸馏。环已酮与水形成沸点为95℃的恒沸混合物(含环已酮38.4%)。应注意馏出液的量不能太多,因为馏出液中含水较多,而环已酮在水中的溶解度较大(31℃时为2.4g);否则,即使利用盐析效应,也有少量环已酮溶于水而损失掉。 4. 把馏出液用食盐水饱和,并将馏出液移至分液漏斗中,静止,分出有机相。水相用15mL乙醚提取一次,将乙醚提取液与有机相合并,用无水硫酸镁干燥。 5. 按图1装置仪器,在水浴上蒸出乙醚(在接液管的尾部接一通住水槽或室外的橡皮管,以便把易挥发、易燃的乙醚蒸气通入水槽的下水管内或引出室外),

《计算机控制仿真与应用(Multisim)》模拟题目

一.填空(20分) 1.电子设计技术的核心就是技术,它是以计算机为工作平台,融合电子技术、计 算机技术等方面最新成果而研制的通用软件。他主要能辅助集成电路设计和电子电路设计。 2.Multisim是一个优秀的电子技术训练工具,是能够替代电子实验室中的多种传统仪器的虚拟电子实验室,具有、、等特点,Multisim的前身是加拿大IIT公司推出的用于的虚拟电子工作台软件。Multisim还将最新的测试仪器引入了虚拟仪器中,这些优良的测试仪器是其他仿真软件所没有的。加拿大IIT公司还向用户提供了PCB软件。PCB中文含意是。 3.目前美国国家仪器公司NI发布的Multisim11电路仿真软件和LabVIEW测量软件相集成,需要设计制作自定义PCB的工程师能够非常方便地比较仿真数据和真实数据,规避了设计上的反复,减少了原型错误。 4、Multisim11的基本操作界面包括:、、、 、、等,这个基本操作界面就相当于一个虚拟。 5、Place菜单提供绘制仿真电路所需的元器件、、,各种连接接口,以及文本框、标题栏等文字内容。 6、Transfer传送菜单提供仿真电路的各种数据与的数据相互传送的功能。 7、Tools菜单主要提供各种常用电路如:放大电路、、的快速创建向导。 8、选择元器件时,首先应确定某一数据库,然后确定,接着确定某种。二.画图 下图是通过Multisim实现的半波整流电路、全波整流电路和电容滤波电路,请根据仪表设置情况将示波器各自的波形图画完整,并说明你的理解。(20分)

半波整流电路 全波整流电路 电容滤波电路

三.简答题 1、列举你所知道的电路制图软件,并简要说出他们的特点和区别。(10分) 2、请说出Multisim10所能实现的虚拟仪器种类,至少说出十种。(10分) 3、请说出Multisim10仿真元件库包含的种类,至少5种,并请详细说明其中的一种。(10分)

环己酮肟实验报告

环己酮肟实验报告 篇一:环己酮的制备实验报告 20XX年11月19日 姓名///////////系年级20XX级应用化学系组别30同组者???科目有机化学题目环己酮的制备仪器编号 一、实验目的 1、学习铬酸氧化法制备环己酮的原理和方法。 2、通过醇转变为酮的实验,进一步了解醇和酮的联系和区别。 二、实验原理 实验室制备脂环醛酮,最常用的方法是将伯醇和仲醇用铬酸氧化。铬酸是重要的铬酸盐和40%~50%硫酸的混合物。仲醇用铬酸氧化是制备酮最常用的方法。酮对氧化剂比较稳定,不易进一步氧化。铬酸氧化醇是一个放热反应,必须严格控制反应的温度,以免反应过于剧烈。反应方程式为: Ho o 3 +na2cr2o7+4H2So4 + cr2(So4)3

+na2So4+ 7H2o 1 制备蒸馏装置 分液装置 精馏蒸馏装置 六、实验步骤 1、配制铬酸溶液:在200mL烧杯中加入30mL水和5.5g重铬酸钠,搅拌使之全部 溶解。然后在搅拌下慢慢加入 4.5mL浓硫酸,将所得橙红色溶液冷却到30℃以下备用; 2、250mL圆底烧瓶中加入5.3mL环己醇,然后一次加入配制好的铬酸溶液,并充分振摇使之混合均匀。用水浴冷却,控制反应温度在55~60℃。当温度开始下降时移去冷水浴,室温下放置0.5h,其间要间歇振摇反应瓶; 3、反应完毕后在反应瓶中加入30.0mL水和几粒沸石,改成蒸馏装置进行蒸馏。将环己酮和水一起蒸出来,直至馏出液不再浑浊再多蒸8~10mL,约收集馏出液25mL。 4、将馏出液用食盐饱和后转入分液漏斗中,分出有机相。水相用7.5mL乙醚提取一次,将乙醚提取液和有机相合并,用1~2g无水碳酸钾干燥;在水浴上蒸除乙醚,换空气冷凝管,蒸馏收集151~155℃馏分。

实验10环己酮的制备

酒泉职业技术学院 《工科化学实验技术》 学习领域教案 NO : 10 09石化1、2、3、应化1班 2010.5.10-5.17 1、用铬酸氧化法环己酮的制备实验, 为什么要严格控制反应温在 60?65 C 关键点:温度的控制。 11 复习提问 之间,温度过高或过低有什么不好? 学习情境 环己酮的制备 课程内容 1. 通过氧化反应制备环己酮 2. 普通蒸馏装置的安装与操作方法。 学习目标 1?学习铬酸氧化法制环己酮的原理和方法。进一步了解醇和酮之间的 联系和 区别; 2?了解盐析效应在分离有机化合物中的应用; 主要内容(*重点、难点) 教学设计与组织 重点:掌握铬酸的配制及环己酮的制备方法。 【教学设计】 难点:熟悉醇的氧化、盐析原理,掌握蒸馏及减 压过滤操作技。 第一部分: 要内容 第二部分: 组织教学和复习上次课主 (时间:5分钟) 讲解新 内容。一、实验原 仪器:真空泵、漏斗、抽滤瓶、布氏漏斗、酒精 灯、滤纸、铁架台、铁圈、火柴、烧杯、球形冷 凝管、圆底烧瓶、分液漏斗 药品:重铬酸钠(Na2Cr2O7?2H2O );环己醇; 硫酸;无水硫酸镁;饱和食盐水;草酸 主要内容: 、实验原理 二、实验步骤 教学地点 逸夫教学楼化学实验室 教学及参考资料 【步骤一】 仪器安装、检验 (一)铬酸的配制 (二)溶液的反应 (时间:200分钟) 【步骤二】结果处理计算回收率 (时间:10分钟) 教学仪器设备 投影仪,教学计算机 实验仪器 练习与习题 课时

实验?北京:科学出版社,2003.3 2. 罗志刚主编.基础化学实验技术.广州:华南 理工大学出版社,2002.8 3. 陈同云主编.工科化学实验.北京:化学工业 出版社,2003.7 4. 王尊本主编.综合化学实验.北京:科学出版 社,2003.8 5. 周志高,初玉霞主编.有机化学实验.化学工业 出版社,2005.4 环己酮的制备 、教学要求: 学习铬酸氧化法制环己酮的原理和方法。进一步了解醇和酮之间的联系和区另叽 、预习内容: 1.实验原理 2.抽虑操作 3.盐析 三、实验原理: 实验室制备脂肪或脂环醛酮, 最常用的方法是将伯醇和仲醇用铬酸氧化。 铬酸是重要的铬酸 盐和40- 50%硫酸的混合物。仲醇用铬酸氧化是制备酮的最常用的方法。酮对氧化剂比较 稳定,不易进一步氧化。 1.王秋长,赵鸿喜,张守民,李一峻编?基础化学 、思考题 1.环己醇用铬酸氧化得到环 己 酮,用高锰酸钾氧化则得己二 酸,为什么? 2?盐析的作用是什么? 3.能否用铬酸氧化法把 2- 丁 醇和2-甲基-2-丙醇区别开来? 说明原因,并写出有关反应式。 二、完成实验报告

有机化学实验报告:环己酮的制备

环己酮的制备 华南师范:cai 前言: 环己酮,无色透明液体,分子量98.14 密度0.9478 g/mL 熔点?16.4 °C 沸点155.65 °C 在水中微溶;在乙醇中混溶。带有泥土气息,含有痕迹量的酚时,则带有薄荷味。不纯物为浅黄色,随着存放时间生成杂质而显色,呈水白色到灰黄色,具有强烈的刺鼻臭味。环己酮有致癌作用。环己酮是重要化工原料,是制造尼龙、己内酰胺和己二酸的主要中间体。也是重要的工业溶剂。也用作染色和褪光丝的均化剂,擦亮金属的脱脂剂,木材着色涂漆,可用环己酮脱膜、脱污、脱斑。 醇的氧化是制备醛酮的重要方法之一。本实验通氧化环己醇制备环己酮,氧化剂可以用铬酸或次氯酸,由于铬酸和它的盐价格比较贵,且会污染环境,用次氯酸或漂白粉来氧化醇可以避免这些缺点,产率也高。所以本实验采用次氯酸做氧化剂。 其他重要数据: 环己醇,有樟脑气味的无色粘性液体,熔点25.2℃沸点:160.9 ℃相对密度0.9624 环己酮和水形成恒沸点混合物,沸点95℃,含环己酮38.4%,溜出液中还有乙酸,沸程94~100℃。 反应方程式: [O] OH O 1、实验部分 1.1实验设备和材料 实验仪器:搅拌器、滴液漏斗、温度计、250mL三颈烧瓶、酒精灯、锥形瓶、冷凝管、蒸馏烧瓶、接液管、分液漏斗 实验药品:环已醇、次氯酸钠、冰醋酸、无水碳酸钠、无水硫酸镁、氯化铝、沸石、氯化钠、碘化钾淀粉试纸 1.2实验装置 反应装置蒸馏装置分液装置 1.3实验过程

混合反应:向装有搅拌器、滴液漏斗和温度计的250mL三颈烧瓶中依次加入5.2mL(5 g,0.05mol)环已醇和25mL冰醋酸。开动搅拌器,在冰水浴冷却下,将38mL次氯酸钠水溶液(约1.8mol/L)通过滴液漏斗逐滴加入反应瓶中,并使瓶内温度维持30~35℃,加完后搅拌5min,用碘化钾淀粉试纸检验应呈蓝色,否则应再补加5mL次氯酸钠溶液,以确保有过量次氯酸钠存在,使氧化反应完全。在室温下继续搅拌30min,加入饱和亚硫酸氢钠溶液至反应液对碘化钾淀粉试纸不显蓝色为至。 蒸馏粗产品:向反应混合物中加入30mL水、3g氯化铝和几粒沸石,在石棉网上加热蒸馏至馏出液无油珠滴出为至。 除杂干燥:在搅拌下向馏出液分批加入无水碳酸钠至反应液呈中性为止,然后加入精制食盐使之变成饱和溶液,将混合液倒入分液漏斗中,分出上层有机层;用无水硫酸镁干燥,过滤得到产物。 2 结果与讨论 2.2 产率与产量 产量:产物为淡黄色液体3.2g 产率:3.2/4.9=65.3% 2.3环己酮的沸点为156℃,而在蒸馏得粗产品中,温度为98℃即可蒸出产品的原因分析 环己酮的沸点为156℃,但环己酮能与水形成共沸物,从而降低了环己酮的沸点,温度在98℃即可蒸出产物,但含有杂质。通过对粗产品除杂,可以得到较纯的环己酮,此时再蒸馏环己酮,温度即可达到环己酮的沸点156℃。 2.4加入次氯酸充分反应后,溶液呈乳白色的原因分析 加入次氯酸充分反应后,溶液本应是无色透明溶液,而此时溶液仍呈乳白色,是因为加入次氯酸钠过多。次氯酸钠在有机试剂中溶解度比较低,当次氯酸钠过多时,容易析出,从而使溶液呈乳白色。 2.5提高产率的因素分析

环己酮的制备

环己酮的制备 一、实验目的 1、学习次氯酸氧化法制环己酮的原理和方法。 2、进一步了解醇和酮之间的联系和区别。 二、实验原理 醇类在氧化剂存在下通过氧化反应可被氧化为醛或酮。本实验用的环己醇属仲醇,因此氧化后生成环己酮。环己酮主要用于合成尼龙-6或尼龙-66,还广泛用作溶剂,它尤其因对许多高聚物(如树脂、橡胶、涂料)的溶解性能优异而得到广泛的应用。在皮革工业中还用作脱脂剂和洗涤剂。 本实验用次氯酸钠做氧化剂,将环己醇氧化成环己酮。 三、主要试剂 环己醇、冰醋酸、次氯酸钠溶液(约1.8mol/L)、饱和亚硫酸氢钠溶液、氯化铝、碘化钾淀粉试纸、无水碳酸钠、氯化钠、无水硫酸镁、沸石 四、试剂用量规格 五、仪器装置 250mL三颈烧瓶、搅拌器、滴液漏斗、温度计、冷凝管、接受器、分液漏斗、烧杯、量筒、电热炉、石棉网、玻璃棒

图1 环己酮的反应装置 图2 环己酮的蒸馏提纯 六、实验步骤及现象 1、向装有搅拌器、滴液漏斗和温度计的250ml三颈烧瓶中依次加入5.2ml(5g,0.05mol)环己醇和25ml冰醋酸。开动搅拌器,在冰水浴冷却下,将38ml次氯酸钠溶液(约1.8mol/L)通过液滴漏斗逐渐加入反应瓶中,并使瓶内温度维持在30~35℃,加完后搅拌5min,用碘化钾淀粉试纸检验应呈蓝色,否则应再补加5ml次氯酸钠溶液,以确保有过量次氯酸钠存在,使氧化反应完全。在室温下继续搅拌30min,加入饱和亚硫酸氢钠溶液至发应液对碘化钾淀粉试纸不显蓝色为止。 2、向反应混合物中加入30ml水、3g氯化铝和几粒沸石,在石棉网上加热蒸馏至馏出液无油珠滴出为止。 3、在搅拌下向馏出液分批加入无水碳酸钠至反应液呈中性为止,然后加入精制食盐使之变成饱和溶液,将混合液倒入分液漏斗中,分出有机层,用无水硫酸镁干燥,蒸馏收集150~155℃馏分,计算产率。

环己酮肟的制备实验报告

环己酮肟的制备实验报告 篇一:环己酮肟的制备 实验二十六环己酮肟的制备 一实验目的 学习用酮和羟胺的缩合反应制备肟的方法 二实验原理: +nh2ohhcl+hcl 三主要试剂:盐酸羟胺2.5g(35mmol),环己酮 2.5g(2.7ml,25mmol). 四实验步骤: 在50ml的烧杯内将2.5g 盐酸羟胺溶解于7.5ml 水中(可 以微微加热)。然后慢慢用6mol/Lnaoh 水溶液中和(ph=8 左右)并冷却至室温。 五注意事项 1.反应回流后如溶液中有不溶性固体杂质,则可趁热减 压过滤。 篇二:环己酮肟的制备 环己酮肟的制备(cyclohexanoneoxime) 一、实验目的: 学习用酮和羟胺的缩合反应制备肟的方法 二、实验原理:o +nh2ohhcln+hcl

三、主要试剂: 盐酸羟胺2.5g(35mmol),环己酮2.5g(2.7ml,25mmol). 四、实验步骤:在50mlde 烧杯内将2.5g 盐酸羟胺溶解于7.5ml 水中(可以微微加热)。然后慢慢用6mol/Lnaoh 水溶液中和(ph=8 左右)并冷却至室温。将2.7ml 环己酮加入50ml 的圆底烧瓶中,加入4.0ml乙醇,在不断搅拌下,滴加上述羟胺溶液。加毕,回流20min, 回流后如溶液中有不溶性固体杂质,则趁热减压过滤。将滤液冷却,析出晶体,过滤,干燥,称重,计算产率(一般85%)。测定产品熔点,(产品的熔点88-89oc)。思考题及注意事项204.204.实验室使用或蒸馏乙醚时应注意哪些问题? 答:在实验室使用或蒸馏乙醚时,实验台附近严禁有明火。因为乙醚容易挥发,且易燃烧,与空气混和到一定比例时即发生爆炸。所以蒸馏乙醚时,只能用热水浴加热,蒸馏装置要严密不漏气,接收器支管上接的橡皮管要引入水槽或室外,且接收器外要用冰水冷却。另外,蒸馏保存时间较久的乙醚时,应事先检验是否含过氧化合物。因为乙醚在保存期间与空气接触和受光照射的影响可能产生二乙基过氧化物(c2h5ooc2h5),过氧化物受热.

计算机仿真技术

计算机仿真技术

The computer simulation technology Abstract: With the development of information processing technology and network technology, simulation technology has not only limited to the performance test product or system integration after production, but also can be applied to the whole process of product models developed, including demonstration program, tactical and technical indicators feasibility studies, design analysis, manufacturing, testing, maintenance, training and so on various stages. System simulation technology is also knowned as system simulation technology, computer simulation of so-called electronic communications system, it is used of computer systems for real electronic communications or digital models of physical model tests. To analyze and study the performance of such a model experiment and working conditions of a real system. When tested in the actual study of electronic communication systems is difficult or impossible to achieve, simulation technology has become an inevitable choice。 Keyword:message,network,simulation,communication,research 计算机仿真技术 摘要:随着信息处理技术和网络技术的发展,仿真技术的应用已不仅仅限于产品或系统生产集成后的性能测试试验,更可应用于产品型号研制的全过程,包括方案论证、战术技术指标论证、设计分析、生产制造、试验、维护、训练等各个阶段。系统仿真技术也称为系统模拟技术,所谓电子通信系统的计算机仿真,就是利用计算机对实际电子通信系统物理模型或数字模型进行试验,通过这样模型实验来对一个实际系统的性能和工作状态进行分析和研究.当在实际电子通信系统中进行试验研究比较困难或者根本无法实现时,仿真技术就成为必然选择。 关键字:信息,网络,仿真,通信,研究 1、什么是计算机仿真技术: 仿真技术是伴随着计算机技术的发展而发展的。是一门多学科的综合性技术,它以控制论、系统论、相似原理和信息技术为基础,以计算机和专用设备为工具,利用系统模型对实际的或设想的系统进行动态试验,其可以再现系统的状态﹑动态行为及性能特征,用于分析系统配置是否合理﹑性能是否满足要求,预测系统可能存在的缺陷,为系统设计提供决策支持和科学依据。 [1]它具有经济、可靠、实用、安全、灵活、可多次重复使用的优点, 已经成为对许多复杂系统( 工程的、非工程的) 进行分析、设计、试验、评估的必不可少的手段。它是以数学理论为基础, 以计算机和各种物理设施为设备工具, 利用系统模型对实际的或设想的系统进行试验仿真研究的一门综合技术。在计算机问世以前,基于物理模型的实验一般称为“模拟”,它一般附属于其他相关学科。自从计算机特别是数字计算机出现以后,其高速计算能力和巨大的存储能力使得复杂的数值计算成为可能,计算机仿真技术得到了蓬勃的发展,从而使计算机仿真成为一门重要的学科。随着仿真应用的日益扩展,计算机仿真的外延也在延伸。如现代的各种仿真训练器:飞行器,船舶、轮机仿真训练器等,尽管在景观、声响、操纵和监控系统等方面大量地采用物理仿真,但其核心部分仍然是对系统及其各组成元件的实时计算机数学仿真。广义地.这些仿真也纳入了计算机仿真的范围。 2、现代仿真技术 现代仿真技术的重要进展主要体现在: 2.1系统建模方面: 传统上,多通过实验辩识来建立系统模型。近十几年来, 系统辩识技术得到飞速发展。在辩识方法上有时域法、频域法、相关分析法、最小二乘法等;在技术手段上有系统辩识设计、系统模型结构辩识、系统模型参数辩识、系统模型检验等[2]。除此之外,近年来还提出了用仿真方法确定实际系统模型的方法;基于模型库的结构化建模方法:面向对象建模方法等。特别是对象建模,可在类库基础上实现模型的拼合与重用。

相关主题
文本预览
相关文档 最新文档