当前位置:文档之家› 微机原理与接口技术课后习题答案

微机原理与接口技术课后习题答案

微机原理与接口技术课后习题答案
微机原理与接口技术课后习题答案

微机原理与接口技术课后部分习题参考答案

第一章

2. 第3项任务,根据状态标志位的状态决定转移方向。

3. 程序存储是将要执行的程序的全部指令存储到存储器中,程序控制指程序开始执行后,通过指令流控制数据或计算机,完成设定的任务。

4. 分BIU 总线接口部件和EI执行部件两大部件,其中总线接口部件BIU负责取指令和数据,执行部件EI负责执行指令及运算。在执行一条指令的同时可以取下一条指令,重叠运行,速度快。

5. 有6个状态标志,分别为进位标志CF、溢出标志OF、零标志ZF、奇偶标志PF、负标志SF、辅助进位标志AF。3个控制标志分别为中断允许标志IF、单步标志TF、方向标志DF。

标志位的内容可以通过标志位操作指令来操作,例如CLC指令清除进位位,即使CF=0,STC指令使CF=1,CLI指令使IF=0,禁止中断,STI指令使IF=1,允许中断。还可以通过LAHF指令取来标识寄存器的内容修改后用SAHF指令送回去。也可以用PUSHF/POPF指令来修改标志寄存器的内容。

6. 实模式下分段靠4个段寄存器实现。段寄存器中的值就是段地址,当偏移地址为0时的段地址+偏移地址就是该段的起始地址。物理地址是由段地址左移4位后与偏移地址相加形成的20位地址。

7. 说法不一定正确。对顺序执行指令的计算机是对的。对重叠或流水线的计算机就不对了。

例如对8086CPU,由于采用了取指令与执行指令的一次重叠,尽管执行一条指令的总时间并没有变化,但连续执行n条指令时,总的时间会大大缩短,可以简单的比喻成总时间为原时间的二分之一,快了一倍。

8. 引入流水线后,执行一条指令的总时间并没有变化。

9. 高速缓存的目的是提高存储器的速度,进而提高了CPU的速度。虚拟存储器的目的是为了给程序员或程序一个大的存储或运行空间。

10。8086采用总线接口部件BIU与执行部件EU分开提高了速度,286将8086的BIU进一步分成3个部件,提高了并行性。386在286基础上进一步增加成6个逻辑部件,实现多条指令重叠,进一步提高了速度,486采用硬组合逻辑控制器,同时采用内嵌高速缓存,提高速度。Pentium采用多流水技术,指令cache 数据cache技术提高速度。都采用扩展地址线数量来扩大容量。

第二章

1. (1)1000:0--1000:FFFFH, 即10000H--1FFFFH.(2)12340--2233FH(3)略(4)略。

2. (1)逻辑1000H:2000H,物理12000H. (2)略(3)略(4)略。

3. DS 可以与BX,SI,DI等组合, ES可以与BX,SI,DI等组合,串指令约定与DI组合。CS只与IP组合, SS一般只与SP组合,也可以与BP组合。

4. 便于程序运行空间保护,便于兼容早期的微处理器。

5. 尽管保护模式下的寻址系统有些复杂,但从用户的角度来说,没有什么不同。

6. 描述符包括基地址、界限、访问权限等信息。

7. 页表要映射到20位的物理地址中。

8. 段被访问时,置1,用于统计该段被访次数。这两个A位服务的对象不一样,不多余。

第三章129页

1. 无符号分离式BCD码。0011表示3,后面的4位可以是0-9,可以表示ASCII码0-9。

2. 由程序或程序员来定义。数据在计算机中都是用代码来表示的,一个数据(例如00110101)的意义是程序员自己掌握的,程序员把它当做一个字符,就是数字5的ASCII码。如果说他是一个无符号数,就是代表十进制数53,如果说是组合式BCD码,就代表十进制数35。

3. 段定义,包括数据段,堆栈段,代码段等。数据及变量定义,约定段寄存器与段名的映射,代码段开始,代码段编程,代码段结束,汇编程序结束等。

4. 操作符由控制器译码器解释执行,伪操作符由汇编程序(翻译程序)解释执行。

5. 转移指令后的标号是偏移地址。只有当应用该标号的转移指令位置确定后,在该指令地址正负128范围内的标号是短转移,类型是字节,在16位二进制数之内是段内的字类型,超出16位二进制数之外的是段间转移,是双字类型。

6. (1)DB 20, 20 DUP (0)

(2 ) DB “The course is easy ”, 0

(3) DB “hello…. !!!!!”, 0dh,0ah,” $”

(4) DW 100 DUP (0)

11.数据定义语句表示,16进制书写。寻址方式包括立即数、直接、间接寻址等多种。逻辑地址。

12. 指令格式包括操作码、操作数(或地址)两部分,这里的操作数不一定就是要处理的那个数据,也可以是那个数据的地址或寄存器等,是找到那个数的寻址方式。而参加操作的数据就是指具体的数据。

13. 判断操作数的寻址方式是否正确?说明原因。

?(1)[ AX] ;例如MOV BL, [ AX] ;错,AX不是地址寄存器

?(2)BP ;例如 MOV AX , BP; 正确,寄存器寻址

?(3)[SI+DI] ;例如 MOV AL , [SI+DI] 错,不能有2个变址寄存器

?(4)[SP] ;例如 MOV AL , [SP], 错,不能用堆栈指针,堆栈指针只能由堆栈指令操作?(5)CS ;例如 MOV AX ,CS; 正确,寄存器寻址

?(6)BH ;例如 MOV AL ,BH; 正确,寄存器寻址

?(7)[BX+BP+32] ;例如 MOV AL , [BX+BP+32]; 错,不能有2个基地址寄存器

?(8)[BL+44] ; 例如 MOV AL , [BL+44]; 错,BL不是完整的地址寄存器

?(9)[CX+90] ;例如 MOV AL , [CX+90]; 错,CX不是地址寄存器

?(10)[DX] ;例如 MOV AL , [DX]; 错,DX不是地址寄存器

?(11)BX+90H ;例如 MOV AX , BX+90H; 错,不能加

?(12)[BX*4] ; 例如 MOV AL , [BX*4] ;错,不能乘

?(13)SI[100H] ;例如MOV AX ,SI[100H]; 正确,相对变址寻址,但写法不好,最好是MOV AX , [SI+100H], MOV AX , 100[SI]

?(14)[DX+90H] ;例如MOV AX , [DX+90H]; 错,DX不是地址寄存器

14题

?假定 DS=2000H

? ES=2100H

? SS=1500H

? SI=00A0H

? BX=0100H

? BP=0010H

?数据变量wVald的偏移地址为0050H

?指出下列指令源操作数的寻址方式?

?(1)MOV AX, 0ABH ;立即数寻址

?(2)MOV AX, [100H] ;直接寻址

?(3)MOV AX, wVald ;直接寻址,等于MOV AX, [0050H]

?(4)MOV BX, [SI] ;寄存器间接寻址

?(5)MOV AX, wVald[BX]; 相对寄存器寻址,等于MOV AX, [BX+0050H]

?(6)MOV CL,[BX][SI] ; 基址加变址寻址

?(7)MOV wVald [SI],BX ;源是寄存器寻址,目是相对基址加变址寻址,等于MOV [SI+0050H],BX ?(8)MOV [BP][SI],100 ;源是立即数,相对基址加变址寻址

?错,应该是MOV WORD[SI+BP],100 ; 说明是字或字节

15. 已知:SS=0FF10H, SP=00A0H.

?将8057H和0F79H入栈,再弹出一个数,画出堆栈区的变化。

?程序举例:

?MOV AX, FF10H

?MOV SS, AX

?MOV AX, 00A0H

?MOV SP,AX

?MOV AX, 8057H

?PUSH AX

?MOV AX, 0F79H

?PUSH AX

?POP BX

?答:已知SS+SP=FF100+00A0=FF1A0H

?结果SP=009EH

?入堆栈时,堆栈指针SP先减2,再压栈

?出堆栈时,先弹出2个字节的,然后堆栈指针SP加2

栈的数据:

?FF198

?FF19A

?FF19C 0F79

?FF19E 0557

?FF1A0 ;栈底

?或用字节地址看

?FF199

?FF19A

?FF19B 0F

?FF19C 79

?FF19D 05

?FF19E 57

?FF19F

?FF1A0 ;栈底

23.试编程序。将内存从40000H到4AFFFH的每个单元中均写入20H,再逐个单元读出比较,看写入的

与读出的是否一致。若全对,则将AL置0FFH;只要有错,则将AL置00H。

?参考程序:(仅提供小汇编语句)

?MOV AX,4000H

? MOV DS, AX

? MOV BX,0

? MOV CX, AFFFH

? MOV AL, 20H

?L1: MOV [BX], AL

? CMP [BX], AL

? JNZ ERROR

? INC BX

? DEC CX

? JNZ L1

? MOV AL,FFH

? JMP END1

?ERROR: MOV AL,0

?END1: RET

20.按下列要求编写指令序列

?1)清除DH中的最低三位而不改变其他位,结果存入DL中;

? AND DH, F8H

? MOV DL,DH

?2)把DI中的最高4位置1而不改变其他位;

? OR DI, F000H

?3)把AX中的0-3位置1,7-9位取反,13-15位置0;

? OR AX, 000FH

? XOR AX, 00000011 10000000B ;用二进制表示数

? AND AX, 00011111 11111111B

?4)测试BX中的第2、5和9位中是否有一位为1;

?TEST BX, 00000010 00100100B

?JZ 全0

?否则,结果非0表示至少有一个1

?5)测试CX中的第1、6和11位是否同时为1;

?MOV AX, CX

?AND AX, 00001000 01000010B

?CMP AX, 00001000 01000010B

?JE 等于转,表示 3位全为1。

?6)测试AX中的第0、2、9和13位中是否有一位为0;

?AND AX,00100010 0000 0101;只看这4位

?XOR AX, 0010 0010 0000 0101

?JZ ; 说明是全1,没有0

?至少1个0

?7)测试DX中的第1、4、11和14位是否同时为0;

?MOV AX, DX

?AND AX, 01001000 00010010B

?JZ =0

23.试编程序。将内存从40000H到4AFFFH的每个单元中均写入20H,再逐个单元读出比较,看写入的与读出的是否一致。若全对,则将AL置0FFH;只要有错,则将AL置00H。

?参考程序:(仅提供小汇编语句)

?MOV AX,4000H

? MOV DS, AX

? MOV BX,0

? MOV CX, AFFFH

? MOV AL,20H

?L1: MOV [BX], AL

? CMP [BX], AL

? JNZ ERROR

? INC BX

? DEC CX

? JNZ L1

? MOV AL,FFH

? JMP END1

?ERROR: MOV AL,0

?END1: RET

24.设变量单元A、B、C存放有3个数,若3个数都不为零,则求3个数的和,存放在D中;若有一

个零,则将其余两个也清零,试编写程序。

?答:先用机器指令编程(用小汇编编程)

?实现此功能的程序方法很多,这里只举一个简单直观的例子。

?设:变量A、B、C变量存放在1000H开始的单元中,即:

?变量A存放在当前段的1000H单元,

?变量B在1001H单元,

?变量C在1002H单元。

?结果D的地址为1003H单元。

?MOV BX,1000H

MOV AL, [BX]

CMP AL,0 ;第一个数A=0?

? JNZ L1 ;非0 转

? MOV [BX+1], AL ;其余2个清0

? MOV [BX+2], AL

? JMP END1

?L1: MOV AL,[BX+1] ;;第2个数B=0?

? CMP AL,0

? JNZ L2 ;非0 转

? MOV [BX], AL

? MOV [BX+2], AL

? JMP END1

?L2 : MOV AL,[BX+2] ;第3个数C=0?

? CMP AL,0

? JNZ L3 ;非0 转

? MOV [BX], AL

? MOV [BX+1], AL

? JMP END1

?L3: MOV AL, [BX] ; 3个数都不为零,求3个数的和

? ADD AL, [BX+1]

? ADD AL, [BX+2]

? MOV [BX+3], AL

?END1: INT 3 ; 结束,断点,停在此

26.试编写程序,统计由40000H开始的16K个单元中所存放的字符A的个数,并将结果存放在DX中。

(仅提供小汇编语句)

?MOV AX, 4000H

? MOV DS, AX

? MOV BX, 0

? MOV CX, 4000H ; 16K个数

? MOV DX, 0 ; 用DX记录A的个数

?L1: MOV AL, [BX]

? CMP AL, 41H ; CMP AL , ’A’

? JNZ L2

? INC DX ; 累加器+1

?L2: INC BX

? DEC CX ; ( LOOP L1 )

? JNZ L1

30题。键盘输入10个数,变成密码后存到BUFF缓冲区去

设密码缓冲区首地址=120H,加密后的数字缓冲区BUFF首地址=130H(仅提供小汇编语句)

? MOV SI , 120H ;密码缓冲区首地址=120H

? MOV DI, 130H ;加密后的数字缓冲区首地址=130H

? MOV CX, 0AH ;共10个数字

? MOV BX, 0 ;偏移地址清0

?10C MOV AH, 1

? INT 21H ;等待输入一个数字

? CMP AL, 0DH ;是回车?

? JZ END1

? AND AL, 0FH ;留下低4位

? MOV BL, AL

? MOV AL, [ SI + BX ] ; 取1个数字的密码

? MOV [ DI ] , AL ; 送加密缓冲区

? INC DI

? DEC CX

? JNZ 10C

? END1: INT 3 ; 结束

?120 DB 7 5 9 1 3 6 8 0 2 4

第五章 169页

1.因为引脚不够,采用了复用技术,在外部必须用锁存器分开地址和数据线。主要是地址信息。

2.MEMR=1, MEMW=0,IOR=1,IOW=1.。反之,MEMR=0, MEMW=1,IOR=1,IOW=1。

3.地址数据线分时用,还有S0-S6,主要解决引脚不够的问题。

4.总线周期包括4个时钟周期,但存储器速度慢时,需要插入等待周期Tw。插入多少个由存储器的读写时间来决定。一般是1-2个。

5.复位后,只有CS=ffffh,其余都是0,系统从FFFF:0000=FFFF0H单元开始执行。

第六章 191页

1.尽管E2PROM速度已经很快,但还是跟不上CPU随机读写的速度,另外,E2PROM是快写,不能当RAM用。

2. E2PROM 是电信号擦除,擦写速度快,可以在线擦除与改写。EPROME是紫外线擦除,需要从电路板上取下来,紫外光照射10分钟,用专门的编程工具才能编程。E2PROM的优点是可以在线编程,编程速度快,但容易被病毒利用。计算机BIOS必须放在EPROME中,才能避免病毒破坏。

3.正比关系。

5.译码电路。

7.如果少用最高位2根地址线,重复空间有22=4块,。少用x根地址线,重复空间有2x块。。

9.(1)需128片。

10.

11.

12.

13.MOV AX,[2001H]不好,多用一个总线周期。慢。

14.

15. A1A0不参加译码。

16.2,4,8字节。

第八章

23题 MOV AL,36H, OUT D3H,AL

MOV AX,0064H, OUT D0H,AL, MOV AL,AH, OUT D0H,AL MOV AL,B0H, OUT D3H,AL

MOV AX,0032H, OUT D2H,AL , MOV AL,AH, OUT D2H,AL

24题可以用中断方式或程序控制方式实现。

如果用程序控制方式,假设8255的A口接8个指示灯,B口PB7位做8254 定时时间到的 OUT输出线,设8255的口地址=60H, 设8254的口地址=40H,

设用8254的计数器1,工作在方式3方波发生器,已知CLK1=1000HZ,即Tclk= 1/1000=1ms,希望输出T=1s,分频系数=该是1ms x1000=1s。

主程序: MOV AL,82H ; 8255编程, A方式0 出,B方式0 入,C出;

OUT 63H ,AL ;

MOV AL,77H, OUT D3H,AL ; 8254计数器1方式3;BCD计数;

MOV AX,1000H, OUT D1H,AL ;

MOV AL,AH, OUT D1H,AL

MOV BL,01H

L1: IN AL,61H

AND AL,80H ;

JZ L1 ;= 0等待

L2: MOV AL,BL ;=1,输出1个灯亮

OUT 60H,AL ; 一个灯亮

ROL BL,1 ; 左循环移1位

L3: IN AL,61H ;

AND AL,80H

JNZ L3 ;= 1等待

JMP L1 ;

27题,异步方式每个字节都需要加起始位、停止位等,传输效率就低了,单位时间传输的字符数就就低了。同步方式每个字节可以不要起始位、停止位及校验位,直接连续传数据,效率高。单位时间内传输的字节数就多了。

28题,先运行接收方程序。

29题,(仅供参考,基本意思到位就可以了,是否先复位等不要紧)

MOV CX,3

MOV AL,0

ST1: OUT 52H,AL ;先送3个0 ,复位

DEC CX

JNZ ST1

MOV AL,40H

OUT 52H,AL ;复位8251

MOV AL, 11 11 10 10B ; 2停止位,偶校验,7位数据,波特率因子16

OUT 52H,AL

MOV AL, 00 01 01 11B ; 出错标志复位,允许接受,数据准备好,允许发送。

OUT 52H,AL

30题、已知一秒钟传输1200bps/10 位格式= 120Byte, 传1024字节需要 1024/120B=8.53秒。

第九章

1题、显示“5”的7段码是1011011= 5BH

2题,这道题不用改了,原题不好。打个半对就行了。

3题。(仅供参考方法不唯一,基本意思到位就可以了)

L1: IN AL,20H

CMP AL,0 ;有按键?没有就等待

JZ L1

IN AL,20H ;取来按键的键号

MOV CX,8 ;共8个键

MOV BL,1 ;初值1

L2: SHR AL,1 ;右移一位,最低位到进位位。

JC L3 ;

INC BL

DEC CX

JNZ L2

L3: MOV AL,BL

OUT 20H,AL ;显示

结束

7题、行频= 262x60=15700HZ

8题、原题是32K色,即15位色,考虑15位不是整数,不好计算,题改成24位色,3个8位表示一个点的颜色。满屏共1024x768个点。每个点用3字节二进制表示它的颜色。故:1)显示缓存应该有1024x768x3=2359296个单元,

2)设(x,y)坐标为列、行坐标,即x是列坐标。y是行坐标。从第0行0列开始。

(x,y)坐标的点在显示存储器中对应的单元为(1024y+ x) x 3。

第十章

2题、已知端口地址为2F7H,

锯齿波: MOV DX,2F7H,

MOV AL,0

L0: OUT DX,AL

INC AL

JMP L0

3题、ALE 和START信号是用户的OUT 指令经地址译码后产生的,宽度基本为转换周期128微秒。

5题、 500-200=300度,精度为0。1度,应该有300x10=3000 个梯度,只有选用12位的A/D,D/A 才能表达 3000 个梯度, 12位的A/D、D/A的分辨率是4096。

(完整版)微机原理课后习题参考答案

第一章 2、完成下列数制之间的转换。 (1)01011100B=92D (3)135D=10000111B (5)10110010B=262Q=B2H 3、组合型BCD码和非组合型BCD码有什么区别?写出十进制数254的组合型BCD数和非组合型数。 答:组合型BCD码用高四位和低四位分别对应十进制数的个位和十位,其表示范围是0~99;非组合型BCD码用一个字节的低四位表示十进制数,高四位则任意取值,表示范围为0~9。 组合型:254=(001001010100)BCD 非组合型:254=(00000010 00000101 00000100)BCD 7、计算机为什么采用补码形式存储数据?当计算机的字长n=16,补码的数据表示范围是多少? 答:在补码运算过程中,符号位参加运算,简化了加减法规则,且能使减法运算转化为加法运算,可以简化机器的运算器电路。+32767~ -32768。 9、设计算机字长n=8,求下列各式的[X+Y]补和[X-Y]补,并验证计算结果是否正确。 (1)X=18,Y=89 [X+Y]补=00010010+01011001=01101011B=107D 正确 [X-Y]补=10111001B=00010010+10100111=(-71D)补正确 (2)X=-23,Y=-11 [X+Y]补=11101001+11110101=11011110B=(-34D)补正确[X-Y]补=11101001+00001011=11110100B=(-12D)补正确 (3)X=18,Y=-15 [X+Y]补=00010010+11110001=00000011B=(3D)补正确 [X-Y]补=00010010+00001111=00100001B=(33D)补正确 (4)X=-18,Y=120 [X+Y]补=11101110+01111000=01100110B=(102D)补正确[X-Y]补=11101110+10001000=01110110B=(123D)补由于X-Y=-138 超出了机器数范围,因此出错了。 13、微型计算机的主要性能指标有哪些? 答:CPU字长、存储器容量、运算速度、CPU内核和IO工作电压、制造工艺、扩展能力、软件配置。 第二章 2、8086标志寄存器包含哪些标志位?试说明各标志位的作用。 答:进位标志:CF;奇偶校验:PF;辅助进位:AF;零标志:ZF;符号标志:SF;溢出标志:OF。 5、逻辑地址与物理地址有什么区别?如何将逻辑地址转换为物理地址? 答:物理地址是访问存储器的实际地址,一个存储单元对应唯一的一个物理地址。逻辑地址是对应逻辑段内的一种地址表示形式,它由段基址和段内偏移地址两部分组成,通常表示为段基址:偏移地址。 物理地址=段基址*10H+偏移地址。 6、写出下列逻辑地址的段基址、偏移地址和物理地址。 (1)2314H:0035H (2)1FD0H:000AH 答:(1)段基址:2314H;偏移地址:0035H;物理地址:23175H。 (2)段基址:1FD0H;偏移地址:000AH;物理地址:1FD0AH。 8、设(CS)=2025H,(IP)=0100H,则当前将要执行指令的物理地址是多少? 答:物理地址=(CS)*10H+(IP)=20350H 9、设一个16字的数据区,它的起始地址为70A0H:DDF6H(段基址:偏移地址),求这个数据区的首字单元和末字单元的物理地址。

微机原理与接口技术(第三版)课本习题答案

第二章 8086体系结构与80x86CPU 1.8086CPU由哪两部分构成它们的主要功能是什么 答:8086CPU由两部分组成:指令执行部件(EU,Execution Unit)和总线接口部件(BIU,Bus Interface Unit)。指令执行部件(EU)主要由算术逻辑运算单元(ALU)、标志寄存器FR、通用寄存器组和EU控制器等4个部件组成,其主要功能是执行指令。总线接口部件(BIU)主要由地址加法器、专用寄存器组、指令队列和总线控制电路等4个部件组成,其主要功能是形成访问存储器的物理地址、访问存储器并取指令暂存到指令队列中等待执行,访问存储器或I/O端口读取操作数参加EU运算或存放运算结果等。 2.8086CPU预取指令队列有什么好处8086CPU内部的并行操作体现在哪里答:8086CPU的预取指令队列由6个字节组成,按照8086CPU的设计要求,指令执行部件(EU)在执行指令时,不是直接通过访问存储器取指令,而是从指令队列中取得指令代码,并分析执行它。从速度上看,该指令队列是在CPU内部,EU从指令队列中获得指令的速度会远远超过直接从内存中读取指令。8086CPU 内部的并行操作体现在指令执行的同时,待执行的指令也同时从内存中读取,并送到指令队列。 5.简述8086系统中物理地址的形成过程。8086系统中的物理地址最多有多少个逻辑地址呢答:8086系统中的物理地址是由20根地址总线形成的。8086系统采用分段并附以地址偏移量办法形成20位的物理地址。采用分段结构的存储器中,任何一个逻辑地址都由段基址和偏移地址两部分构成,都是16位二进制数。通过一个20位的地址加法器将这两个地址相加形成物理地址。具体做法是16位的段基址左移4位(相当于在段基址最低位后添4个“0”),然后与偏移地址相加获得物理地址。由于8086CPU的地址线是20根,所以可寻址的存储空间为1M字节,即8086系统的物理地址空间是1MB。逻辑地址由段基址和偏移地址两部分构成,都是无符号的16位二进制数,程序设计时采用逻辑地址,也是1MB。 6.8086系统中的存储器为什么要采用分段结构有什么好处 答:8086CPU中的寄存器都是16位的,16位的地址只能访问64KB的内存。086系统中的物理地址是由20根地址总线形成的,要做到对20位地址空间进行访问,就需要两部分地址

微机原理与接口习题1_

第1章习题 1、诺依曼计算机的涵是什么?这种计算机的程序运行是由指令流驱动的还是数据流驱动的? 2、微型计算机系统有哪三个层次?试简述它们的涵及其联系和区别。 3、为什么把微型计算机的基本结构说成是总线结构?试简述总线结构的优点。 4、微型计算机硬件系统由哪些部分组成?各组成部分的功能是什么? 5、计算机分那几类?各有什么特点? 6、微处理器应包含的最基本功能部件是哪些? 7、微计算机应包含的最基本功能部件是哪些? 8、微型计算机系统有哪几部分组成,简述各部分功能特点? 9、一个完整的计算机系统通常包括系统软件和应用软件、硬件系统和软件系统、计算机及其外部设备、系统硬件和系统软件这四种组合中的哪一种? 第1章习题解答 1、诺依曼计算机的涵是什么?这种计算机程序运行是由指令流驱动的还是数据流驱动的? 答:诺依曼计算机的涵: 由运算器、控制器、存储器、输入设备、输出设备5大部分组成计算机硬件。 数据和计算机指令采用二进制数形式表示,存储在计算机部存储器中。 计算机工作原理的核心是“存储程序”和“程序控制”。事先编制好的由计算机指令组成的程序在执行前先装入存储器,控制器依据程序中的指令顺序而复始地取出指令、分析指令、执行指令,根据指令的功能进行相应的运算,直到完成全部指令操作为止. 程序的运行是通过指令流来驱动的。 2、微型计算机系统有哪三个层次?试简述它们的涵及其联系和区别。 答:微型计算机系统的三个层次:微处理器、微型计算机和微型计算机系统。 三者的涵: 微处理器是利用大规模集成电路技术,将组成计算机的核心部件——运算器和控制器集成在一块硅片上的集成电路,简称MPU,习惯上称CPU。 微型计算机则是以微处理器为核心,配以存储器、输入/输出(I/O)接口电路,通过总线结构连接而构成的裸机。 微型计算机系统是由微型计算机配以相应的外围设备(如键盘、显示器、打印机、外存储器等)、电源和其他辅助设备(如面板、机架等),以及控制微型计算机工作的软件而构成的完整的计算系统。 它们三者之间是从局部到全局的关系。单纯的微处理器和单纯的微型计算机都不能独立工作。只有微型计算机系统才是完整的计算系统,才可正常工作。 3、为什么把微型计算机的基本结构说成是总线结构?试简述总线结构的优点。 答:在微型计算机中,各组成部分之间是通过总线(包括地址总线、数据总线、控制总线)连接在一起而构成一个整体的,它们之间的信息交换也是通过总线进行。CPU通过总线与存储器和I/O接口电路连接,I/O接口和外设也是通过总线连接,即使在CPU部,它的各功能部件也是通过总线相连的。因此微型计算机的基本结构就是总线结构。 微型计算机采用总线结构后,系统中各功能部件之间的相互关系变为各部件面向总线的单一关系。一个部件只要满足总线标准,就可直接连接到采用这种总线标准的系统中。这使得系统的设计与构造非常便,同时也便于系统的扩充、升级和维修。 4、微型计算机硬件系统由哪些部分组成?各组成部分的功能是什么? 答:微型计算机硬件系统主要由CPU、存储器、I/O接口电路、输入/输出设备、总线,以及电源和一些辅助设备构成。 CPU:微机系统的核心部件,是运算和指挥控制中心。 存储器:包括存和外存,是微机系统的存储和记忆部件,用以存放数据和程序。 I/O接口电路:CPU与输入/输出设备的连接与信息交换不能直接进行,I/O接口电路充当了二者之间的“桥梁”。 输入/输出设备:计算机与外界(人或其他设备,包括另一台计算机)联系和沟通的桥梁,用户通

微机原理与接口技术题(答案)

自检试题1 一、填空(共10分,每空1分) 1. 反码0D5H所表示的十进制数为42H ,补码7AH所表示的十进制数为+122 。 2. 设SP=0100H, SS=2000H, 压入10个16位二进制数后,栈顶的SP值和物理地址分别为 __00ECH_和200ECH 。 3. 80x86 CPU 可以访问的I/O空间共有2^16B=64KB ,采用独立编址的方法。 4. CPU 与外设之间数据传输控制方式分为直接传输(无条件传输)、查询传输(有条件传输)、中断传输、DMA传输等4种方式。 二、回答问题(共10分) 1.图9-1为某接口的地址译码电路,该I/O接口为何种类型?占有多少有效地址?写出所占有的I/O地址范围。(4分) 答:因为I/O读信号IOR参加译码,所以为输入接口。该接口占有2^9=512个地址,地址范围为EA00H~EBFFH。 2.请简述数据总线与地址总线各自具有的特点,如果某CPU的数据总线与地址总线采用同一组信号线可采用什么方法分离开。(6分) 答:数据总线的特点为双向三态,其总线位数决定CPU与外部一次传输数据的位数。地址总线的特点为单项三态,其总线位数决定CPU对外部寻址的范围。如果某CPU的数据总线与地址总线采用同一组信号线,可以利用锁存器将地址总线分离出来。 三、分析程序(共20分) 1.设AL=05AH,BL=7BH,当执行ADD AL,BL指令后各状态位的状态为: OF=__1__,CF=__0__,SF=__1__,ZF=__0___,AF=__1__,PF=__0__。(6分) 2.阅读下列程序段,内存数据是如何存放的?(如图9-2所示)(6分) DATASEGMENT DA1DB-5,2DUP(45),’AB’ DATAENDS DATA: DA1 FBH DATA: DA1+1 2DH DATA: DA1+2 2DH

微机原理课后习题答案

李伯成《微机原理》习题第一章 本章作业参考书目: ①薛钧义主编《微型计算机原理与应用——Intel 80X86系列》 机械工业出版社2002年2月第一版 ②陆一倩编《微型计算机原理及其应用(十六位微型机)》 哈尔滨工业大学出版社1994年8月第四版 ③王永山等编《微型计算机原理与应用》 西安电子科技大学出版社2000年9月 1.1将下列二进制数转换成十进制数: X=10010110B= 1*27+0*26+0*25+1*24+0*23+1*22+1*21 +0*21 =128D+0D+0D+16D+0D+0D+4D+2D=150D X=101101100B =1*28+0*27+1*26+1*25+0*24+1*23+1*22+0*21+0*20 =256D+0D+64D+32D+0D+16D+4D+0D=364D X=1101101B= 1*26+1*25+0*24+1*23+1*22+0*21 +1*20 =64D+32D+0D+8D+4D+0D+1D=109D 1.2 将下列二进制小数转换成十进制数: (1)X=0.00111B= 0*2-1+0*2-2+1*2-3+1*2-4+1*2-5= 0D+0D+0.125D+0.0625D+0.03125D=0.21875D (2) X=0.11011B= 1*2-1+1*2-2+0*2-3+1*2-4+1*2-5= 0.5D+0.25D+0D+0.0625D+0.03125D=0.84375D (3) X=0.101101B= 1*2-1+0*2-2+1*2-3+1*2-4+0*2-5+1*2-6= 0.5D+0D+0.125D+0.0625D+0D+0.015625D=0.703125D 1.3 将下列十进制整数转换成二进制数: (1)X=254D=11111110B (2)X=1039D=10000001111B (3)X=141D=10001101B 1.4 将下列十进制小数转换成二进制数: (1)X=0.75D=0.11B (2) X=0.102 D=0.0001101B (3) X=0.6667D=0.101010101B 1.5 将下列十进制数转换成二进制数 (1) 100.25D= 0110 0100.01H (2) 680.75D= 0010 1010 1000.11B 1.6 将下列二进制数转换成十进制数 (1) X=1001101.1011B =77.6875D

微机原理与接口习题

第1章习题 1、冯诺依曼计算机的内涵是什么这种计算机的程序运行是由指令流驱动的还是数据流驱动的 2、微型计算机系统有哪三个层次试简述它们的内涵及其联系和区别。 3、为什么把微型计算机的基本结构说成是总线结构试简述总线结构的优点。 4、微型计算机硬件系统由哪些部分组成各组成部分的功能是什么 5、计算机分那几类各有什么特点 6、微处理器应包含的最基本功能部件是哪些 7、微计算机应包含的最基本功能部件是哪些 8、微型计算机系统有哪几部分组成,简述各部分功能特点 9、一个完整的计算机系统通常包括系统软件和应用软件、硬件系统和软件系统、计算机及其外部设备、系统硬件和系统软件这四种组合中的哪一种 第1章习题解答 1、冯诺依曼计算机的内涵是什么这种计算机程序运行是由指令流驱动的还是数据流驱动的 答:冯诺依曼计算机的内涵: 由运算器、控制器、存储器、输入设备、输出设备5大部分组成计算机硬件。 数据和计算机指令采用二进制数形式表示,存储在计算机内部存储器中。 计算机工作原理的核心是“存储程序”和“程序控制”。事先编制好的由计算机指令组成的程序在执行前先装入存储器,控制器依据程序中的指令顺序周而复始地取出指令、分析指令、执行指令,根据指令的功能进行相应的运算,直到完成全部指令操作为止. 程序的运行是通过指令流来驱动的。 2、微型计算机系统有哪三个层次试简述它们的内涵及其联系和区别。 答:微型计算机系统的三个层次:微处理器、微型计算机和微型计算机系统。 三者的内涵: 微处理器是利用大规模集成电路技术,将组成计算机的核心部件——运算器和控制器集成在一块硅片上的集成电路,简称MPU,习惯上称CPU。 微型计算机则是以微处理器为核心,配以内存储器、输入/输出(I/O)接口电路,通过总线结构连接而构成的裸机。 微型计算机系统是由微型计算机配以相应的外围设备(如键盘、显示器、打印机、外存储器等)、电源和其他辅助设备(如面板、机架等),以及控制微型计算机工作的软件而构成的完整的计算系统。 它们三者之间是从局部到全局的关系。单纯的微处理器和单纯的微型计算机都不能独立工作。只有微型计算机系统才是完整的计算系统,才可正常工作。 3、为什么把微型计算机的基本结构说成是总线结构试简述总线结构的优点。 答:在微型计算机中,各组成部分之间是通过总线(包括地址总线、数据总线、控制总线)连接在一起而构成一个整体的,它们之间的信息交换也是通过总线进行。CPU通过总线与存储器和I/O接口电路连接,I/O接口和外设也是通过总线连接,即使在CPU内部,它的各功能部件也是通过总线相连的。因此微型计算机的基本结构就是总线结构。 微型计算机采用总线结构后,系统中各功能部件之间的相互关系变为各部件面向总线的单一关系。一个部件只要满足总线标准,就可直接连接到采用这种总线标准的系统中。这使得系统的设计与构造非常方便,同时也便于系统的扩充、升级和维修。 4、微型计算机硬件系统由哪些部分组成各组成部分的功能是什么 答:微型计算机硬件系统主要由CPU、存储器、I/O接口电路、输入/输出设备、总线,以及电源和一些辅助设备构成。 CPU:微机系统的核心部件,是运算和指挥控制中心。 存储器:包括内存和外存,是微机系统的存储和记忆部件,用以存放数据和程序。 I/O接口电路:CPU与输入/输出设备的连接与信息交换不能直接进行,I/O接口电路充当了二者之间的“桥梁”。 输入/输出设备:计算机与外界(人或其他设备,包括另一台计算机)联系和沟通的桥梁,用户通过输入/输出设备与微机系统互相通信。

微机原理与接口技术_试题与答案

微机原理与接口技术试题 微型计算机原理与接口技术综合测试题一 一、单项选择题(下面题只有一个答案是正确的,选择正确答案填入空白处) 1.8086CPU通过(1 )控制线来区分是存储器访问,还是I/O访问,当CPU执行IN AL,DX指令时,该信号线为(2 )电平。 (1) A. M/ B. C. ALE D. N/ (2) A. 高 B. 低 C. ECL D. CMOS 2.n+1位有符号数x的补码表示范围为()。 A. -2n < x < 2n B. -2n ≤x ≤2n -1 C. -2n -1 ≤x ≤2n-1 D. -2n < x ≤2n 3.若要使寄存器AL中的高4位不变,低4位为0,所用指令为()。 A. AND AL, 0FH B. AND AL, 0FOH C. OR AL, 0FH D. OR AL 0FOH 4.下列MOV指令中,不正确的指令是()。 A. MOV AX, BX B. MOV AX, [BX] C. MOV AX, CX D. MOV AX, [CX] 5.中断指令INT 17H的中断服务程序的入口地址放在中断向量表地址()开始的4个存贮单元内。 A. 00017H B. 00068H C. 0005CH D. 0005EH 6.条件转移指令JNE的条件是()。 A. CF=0 B. CF=1 C. ZF=0 D. ZF=1 7. 在8086/8088 CPU中,一个最基本的总线读写周期由(1 )时钟周期(T状态)组成,在T1状态,CPU往总线上发(2 )信息。 ⑴ A. 1个 B. 2个 C. 4个 D. 6个 ⑵ A. 数据 B . 地址 C. 状态 D. 其它 8. 8086有两种工作模式, 最小模式的特点是(1 ),最大模式的特点是(2 )。 ⑴ A. CPU提供全部控制信号 B. 由编程进行模式设定 C. 不需要8286收发器 D. 需要总线控制器8288 ⑵ A. M/ 引脚可直接引用 B. 由编程进行模式设定 C. 需要总线控制器8288 D. 适用于单一处理机系统 9.在8086微机系统的RAM 存储单元器0000H:002CH开始依次存放23H,0FFH,00H,和0F0H 四个字节,该向量对应的中断号是( )。 A. 0AH B. 0BH C. 0CH D. 0DH 10.真值超出机器数表示范围称为溢出,,此时标志寄存器中的( )位被置位 A. OF B AF C PF D CF 11.8086 系统中内存储器地址空间为1M,而在进行I/O读写是,有效的地址线是( ) A . 高16位 B. 低16位 C. 高8位 D. 低8位 12.8086 CPU中段寄存器用来存放( ) A. 存储器的物理地址 B. 存储器的逻辑地址 C. 存储器的段基值 D. 存储器的起始地址 13.8259A可编程中断控制器的中断服务寄存器ISR用于( ) A.记忆正在处理中的中断 B. 存放从外设来的中断请求信号 C.允许向CPU发中断请求 D.禁止向CPU发中断请求

微机原理与接口技术课后习题答案

第1章微型计算机系统 〔习题〕简答题 (2)总线信号分成哪三组信号 (3)PC机主存采用DRAM组成还是SRAM组成 (5)ROM-BIOS是什么 (6)中断是什么 (9)处理器的“取指-译码-执行周期”是指什么 〔解答〕 ②总线信号分成三组,分别是数据总线、地址总线和控制总线。 ③ PC机主存采用DRAM组成。 ⑤ ROM-BIOS是“基本输入输出系统”,操作系统通过对BIOS 的调用驱动各硬件设备,用户也可以在应用程序中调用BIOS中的许多功能。 ⑥中断是CPU正常执行程序的流程被某种原因打断、并暂时停止,转向执行事先安排好的一段处理程序,待该处理程序结束后仍

返回被中断的指令继续执行的过程。 ⑨指令的处理过程。处理器的“取指—译码—执行周期”是指处理器从主存储器读取指令(简称取指),翻译指令代码的功能(简称译码),然后执行指令所规定的操作(简称执行)的过程。 〔习题〕填空题 (2)Intel 8086支持___________容量主存空间,80486支持___________容量主存空间。 (3)二进制16位共有___________个编码组合,如果一位对应处理器一个地址信号,16位地址信号共能寻址___________容量主存空间。 (9)最初由公司采用Intel 8088处理器和()操作系统推出PC机。 ② 1MB,4GB ③ 216,64KB (9)IBM,DOS 〔习题〕说明微型计算机系统的硬件组成及各部分作用。 〔解答〕

CPU:CPU也称处理器,是微机的核心。它采用大规模集成电路芯片,芯片内集成了控制器、运算器和若干高速存储单元(即寄存器)。处理器及其支持电路构成了微机系统的控制中心,对系统的各个部件进行统一的协调和控制。 存储器:存储器是存放程序和数据的部件。 外部设备:外部设备是指可与微机进行交互的输入(Input)设备和输出(Output)设备,也称I/O设备。I/O设备通过I/O接口与主机连接。 总线:互连各个部件的共用通道,主要含数据总线、地址总线和控制总线信号。 〔习题〕区别如下概念:助记符、汇编语言、汇编语言程序和汇编程序。 〔解答〕 助记符:人们采用便于记忆、并能描述指令功能的符号来表示机器指令操作码,该符号称为指令助记符。 汇编语言:用助记符表示的指令以及使用它们编写程序的规则就形成汇编语言。 汇编语言程序:用汇编语言书写的程序就是汇编语言程序,或称汇编语言源程序。

微机原理与接口技术(第二版) 清华大学出版社

习题1 1.什么是汇编语言,汇编程序,和机器语言? 答:机器语言是用二进制代码表示的计算机能直接识别和执行的一种机器指令的集合。 汇编语言是面向及其的程序设计语言。在汇编语言中,用助记符代替操作码,用地址符号或标号代替地址码。这种用符号代替机器语言的二进制码,就把机器语言编程了汇编语言。 使用汇编语言编写的程序,机器不能直接识别,要由一种程序将汇编语言翻译成机器语言,这种起翻译作用的程序叫汇编程序。 2.微型计算机系统有哪些特点?具有这些特点的根本原因是什么? 答:微型计算机的特点:功能强,可靠性高,价格低廉,适应性强、系统设计灵活,周期短、见效快,体积小、重量轻、耗电省,维护方便。 这些特点是由于微型计算机广泛采用了集成度相当高的器件和部件,建立在微细加工工艺基础之上。 3.微型计算机系统由哪些功能部件组成?试说明“存储程序控制”的概念。 答:微型计算机系统的硬件主要由运算器、控制器、存储器、输入设备和输出设备组成。 “存储程序控制”的概念可简要地概括为以下几点: ①计算机(指硬件)应由运算器、存储器、控制器和输入/输出设备五大基本部件组成。 ②在计算机内部采用二进制来表示程序和数据。 ③将编好的程序和原始数据事先存入存储器中,然后再启动计算机工作,使计算机在不需要人工干预的情况下,自动、高速的从存储器中取出指令加以执行,这就是存储程序的基本含义。 ④五大部件以运算器为中心进行组织。 4.请说明微型计算机系统的工作过程。 答:微型计算机的基本工作过程是执行程序的过程,也就是CPU自动从程序存

放的第1个存储单元起,逐步取出指令、分析指令,并根据指令规定的操作类型和操作对象,执行指令规定的相关操作。如此重复,周而复始,直至执行完程序的所有指令,从而实现程序的基本功能。 5.试说明微处理器字长的意义。 答:微型机的字长是指由微处理器内部一次可以并行处理二进制代码的位数。它决定着计算机内部寄存器、ALU和数据总线的位数,反映了一台计算机的计算精度,直接影响着机器的硬件规模和造价。计算机的字长越大,其性能越优越。在完成同样精度的运算时,字长较长的微处理器比字长较短的微处理器运算速度快。 6.微机系统中采用的总线结构有几种类型?各有什么特点? 答:微机主板常用总线有系统总线、I/O总线、ISA总线、IPCI总线、AGP总线、IEEE1394总线、USB总线等类型。 7.将下列十进制数转换成二进制数、八进制数、十六进制数。 ①(4.75)10=(0100.11)2=(4.6)8=(4.C)16 ②(2.25)10=(10.01)2=(2.2)8=(2.8)16 ③(1.875)10=(1.111)2=(1.7)8=(1.E)16 8.将下列二进制数转换成十进制数。 ①(1011.011)2=(11.375)10 ②(1101.01011)2=(13.58)10 ③(111.001)2=(7.2)10 9.将下列十进制数转换成8421BCD码。 ① 2006=(0010 0000 0000 0110)BCD ② 123.456=(0001 0010 0011.0100 0101 0110)BCD 10.求下列带符号十进制数的8位基2码补码。 ① [+127]补= 01111111

微机原理及接口技术课后习题答案

《微机原理与接口技术》 复习题 第1章 1.简述名词的概念:微处理器、微型计算机、微型计算机系统。 答: (1)微处理器:微处理器(Microprocessor)简称μP或MP,或CPU。CPU是采用大规模和超大规模集成电路技术将算术逻辑部件ALU(Arithmetic Logic Unit)、控制部件CU (Control Unit)和寄存器组R(Registers)等三个基本部分以及部总线集成在一块半导体芯片上构成的电子器件。 (2)微型计算机:微型计算机(Microcomputer)是指以微处理器为核心,配上由大规模集成电路制作的存储器、输入/输出接口电路及系统总线等所组成的计算机,简称微机。 (3)微型计算机系统:微型计算机系统由硬件与软件两大部分组成,分别称为硬件(Hardware)系统与软件(Software)系统。其中,硬件(Hardware)系统由CPU、存储器、各类I/O接口、相应的I/O设备以及连接各部件的地址总线、数据总线、控制总线等组成。 软件(Software)系统:计算机软件(Software)是指为运行、维护、管理、应用计算机所编制的程序及程序运行所需要的数据文档资料的总和。一般把软件划分为系统软件和应用软件。其中系统软件为计算机使用提供最基本的功能,但是并不针对某一特定应用领域。而应用软件则恰好相反,不同的应用软件根据用户和所服务的领域提供不同的功能。 2.简述名词的概念:指令寄存器、地址寄存器、标志寄存器。 答: (1)指令寄存器:指令寄存器(Instruction Register,IR)用来保存计算机当前正在执行或即将执行的指令。当一条指令被执行时,首先,CPU从存取出指令的操作码,并存入IR中,以便指令译码器进行译码分析。 (2)地址寄存器:地址寄存器(Address Register,AR)被动地接受IP传送给它的地址值(二进制地址),AR的作用是保持IP送来的地址,并且以并行方式连接输出到CPU的地址引脚上,以便CPU访问指定的存单元。 (3)标志寄存器:标志寄存器(Flags,F)是CPU中不可缺少的程序状态寄存器,因此,也称程序状态字寄存器(PSW),所谓状态是指算术或逻辑运算后,结果的状态以二进制的0或1在标志寄存器中标识出来,例如,运算结果有进位,则进位标志位CF=1,否则为0。 3.何谓IA-32处理器? 答:

微机原理与接口技术试题库(含答案)

一、问答题 1、下列字符表示成相应的ASCII码是多少? (1)换行0AH (2)字母“Q”51H (3)空格20H 2、下列各机器数所表示数的范围是多少? (1)8位二进制无符号定点整数; 0~255 (2)8位二进制无符号定点小数;0.996094 (3)16位二进制无符号定点整数;0~65535 (4)用补码表示的16位二进制有符号整数;-32768~32767 3、(111)X=273,基数X=?16 4、有一个二进制小数X=0.X1X2X3X4X5X6 (1)若使X≥1/2,则X1……X6应满足什么条件? X1=1 若使X>1/8,则X1……X6应满足什么条件?X1∨X2 ∨X3=1 (2) 5、有两个二进制数X=01101010,Y=10001100,试比较它们的大小。 (1)X和Y两个数均为无符号数;X>Y (2)X和Y两个数均为有符号的补码数。X

微机原理课后答案

1.2 课后练习题 一、填空题 1.将二进制数1011011.1转换为十六进制数为__5B.8H_____。 2.将十进制数199转换为二进制数为____ 11000111____B。 3.BCD码表示的数,加减时逢__10____进一,ASCII码用来表示数值时,是一种非压缩的BCD 码。 4.十进制数36.875转换成二进制是___100100.111____________。 5.以_微型计算机____为主体,配上系统软件和外设之后,就构成了__微型计算机系统____。6.十进制数98.45转换成二进制为__1100010.0111_B、八进制__142.3463________Q、十六进制__62.7333________H。(精确到小数点后4位) 二、选择题 1.堆栈的工作方式是__B_________。 A)先进先出B)后进先出C)随机读写D)只能读出不能写入 2.八位定点补码整数的范围是____D_________。 A)-128-+128 B)-127-+127 C)-127-+128 D)-128-+127 3.字长为16位的数可表示有符号数的范围是___B___。 A)-32767-+32768 B)-32768-+32767 C)0-65535 D)-32768-+32768 三、简答题 1.微型计算机系统的基本组成? 微型计算机,系统软件,应用软件,输入输出设备 2.简述冯.诺依曼型计算机基本思想? ●将计算过程描述为由许多条指令按一定顺序组成的程序,并放入存储器保存 ●指令按其在存储器中存放的顺序执行; ●由控制器控制整个程序和数据的存取以及程序的执行; ●以运算器为核心,所有的执行都经过运算器。 3.什么是微型计算机? 微型计算机由CPU、存储器、输入/输出接口电路和系统总线构成。 4.什么是溢出? 运算结果超出了计算机所能表示的范围。 2.2 一、填空题 1. 8086/8088的基本总线周期由___4____个时钟周期组成,若CPU主频为10MHz,则一个时钟周期的时间为___0.1μs_____。 2. 在8086CPU的时序中,为满足慢速外围芯片的需要,CPU采样___READY_________信号,若未准备好,插入___TW__________时钟周期。 3. 8086系统总线形成时,须要用_____ALE__________信号锁定地址信号。 4. 对于8086微处理器,可屏蔽中断请求输入信号加在_____INTR__________引脚。

微机原理与接口技术学习心得

本学期微机原理课程已经结束,关于微机课程的心得体会甚多。微机原理与接口技术作为一门专业课,虽然要求没有专业课那么高,但是却对自己今后的工作总会有一定的帮助。记得老师第一节课说学微机原理是为以后的单片机打基础,这就让我下定决心学好微机原理这门课程。 初学《微机原理与接口技术》时,感觉摸不着头绪。面对着众多的术语、概念及原理性的问题不知道该如何下手。在了解课程的特点后,我发现,应该以微机的整机概念为突破口,在如何建立整体概念上下功夫。可以通过学习一个模型机的组成和指令执行的过程,了解和熟悉计算机的结构、特点和工作过程。 《微机原理与接口技术》课程有许多新名词、新专业术语。透彻理解这些名词、术语的意思,为今后深入学习打下基础。一个新的名词从首次接触到理解和应用,需要一个反复的过程。而在众多概念中,真正关键的并不是很多。比如“中断”概念,既是重点又是难点,如果不懂中断技术,就不能算是搞懂了微机原理。在学习中凡是遇到这种情况,绝对不轻易放过,要力求真正弄懂,搞懂一个重点,将使一大串概念迎刃而解。 学习过程中,我发现许多概念很相近,为了更好地掌握,将一些容易混淆的概念集中在一起进行分析,比较它们之间的异同点。比如:微机原理中,引入了计算机由五大部分组成这一概念;从中央处理器引出微处理器的定义;在引出微型计算机定义时,强调输入/输出接口的重要性;在引出微型计算机系统的定义时,强调计算机软件与计算机硬件的相辅相成的关系。微处理器是微型计算机的重要组成部分,它与微型计算机、微型计算机系统是完全不同的概念在微机中,最基础的语言是汇编语言。汇编语言是一个最基础最古老的计算机语言。语言总是越基础越重要,在重大的编程项目中应用最广泛。就我的个人理解,汇编是对寄存的地址以及数据单元进行最直接的修改。而在某些时候,这种方法是最有效,最可靠的。 然而,事物总有两面性。其中,最重要的一点就是,汇编语言很复杂,对某个数据进行修改时,本来很简单的一个操作会用比较烦琐的语言来解决,而这些语言本身在执行和操作的过程中,占有大量的时间和成本。在一些讲求效率的场合,并不可取。 汇编语言对学习其他计算机起到一个比较、对照、参考的促进作用。学习事物总是从最简单基础的开始。那么学习高级语言也当然应当从汇编开始。学习汇

微机原理与接口技术习题

1.8051微控制器包含哪些主要功能模块?经典8051 MCU有哪些功能特点? 8051微控制器包含CPU、存储器(ROM、RAM)、输入输出(I/O)接口、中断系统、定时器/计数器、串行接口和时钟、复位电路以及特殊功能寄存器SFR。 经典8051MCU有8位CPU,包含运算器和控制器两大部分;内部的RAM和可扩展的外部RAM、内部的ROM和可扩展的外部ROM;21个特殊寄存器SFR,用于内部功能部件的管理、控制和监视;4个并行8位I/O接口;具有5个中断源的中断系统,且有2个中断优先权;有2个16位的定时器/计数器,具有4种工作方式;1个全双工的串行口,用于MCU与具有串行接口的的外设进行异步串行通信,也可以扩展I/O接口;具有较强位寻址、位处理能力的布尔处理器;具有内部时钟电路;还有属于CISC的指令系统。2.8051微控制器的存储器在结构上有何特点?ROM、RAM各有哪几种地址空间?分别如何 使用? 8051微控制器的存储器采用哈佛结构,是程序存储器和数据存储器分别编址的结构,即ROM和RAM是分开寻址的。 ROM(程序存储器)可以分为内部和外部两部分:内部8K空间(0000H-1FFFH)、外部64K空间(0000H-FFFFH)。其中内外部的低8KB空间具有相同的地址,会有地址重叠问题,可以通过控制信号EA进行选择,EA=1时表示优先选用内部ROM的低8K位,EA=0时表示全部选用外部ROM。 RAM(数据存储器)也有内部和外部两个空间,内部256B空间(00H-FFH)、外部64KB 空间(0000H-FFFFH)。其中内部RAM中低32位(00H-1FH)是工作寄存器,共8组,可用PSW寄存器中的两位RS1、RS0来确定。(20H-2FH)是位寻址区,可用CPU用位操作指令直接访问。(30H-FFH)通常作为数据缓存区和堆栈区,其中(30H-7FH)可运用直接寻址和寄存器间接寻址这两种寻址方式,对其进行访问;而(80H-FFH)则只能采用寄存器间接寻址方式进行访问。外部数据存储器和内部的低256B地址重叠区可采用不同指令访问,外部区只能用MOVX类指令,通过累加器ACC与其进行数据传输。 3.程序状态寄存器PSW的作用是什么?常用状态标志有哪几位?作用是什么? PSW用来存放程序状态信息,表征指令的执行状态,供程序查询和判别之用。 常用的有CY(进位标志)、AC(辅助进位)、OV(溢出标志)、P(奇偶标志) CY:进位标志。在。在加、减法运算时,若高位(D7)发生进位或借位则被置1(即C=1),否则被清0(C=0); AC:辅助进位标志。在加、减法运算时,若低4位向高4位发生进位或借位则AC=1,

微机原理与接口技术模拟题及答案

微机原理与接口技术模拟题一 一.填空题 1.二进制数11010011B转换为十六进制数是,转换为十进制数是。 2.十六进制数2345H转换为二进制数是,转换为十进制数是。 3.十进制数45D转换为组合BCD码是,转换为非组合BCD码是。 4.十进制数45D对应的8位补码是。 5.十进制数-58D对应的8位补码是。 二.单选题 1.一个字符的基本ASCII码的值占用位。 A.6 B.7 C.8 D.9 2.将高级语言的程序翻译成为机器语言代码程序的实用程序是。 A.编译程序B.汇编程序C.解释程序D.目标程序3.指令“MOV ES:[2000H],AX”中,目的的操作数的寻址方式是。 A.立即寻址B.寄存器寻址C.存储器直接寻址D.寄存器间接寻址4.下列寄存器不能存放操作数有效地址的为。 A.SI B.DI C.BX D.DX 5.CPU发出的访问存储器的地址是。 A.物理地址B.偏移地址C.逻辑地址D.段地址三.解答题 1.I/O接口与CPU的主要连线与外部设备的主要连线是什么称谓?各有什么功能? 2.简述8088与8086在内部结构与外部引脚的主要区别是什么? 3.INT n的功能调用与过程(子程序)调用有什么不同? 4.写出下列存储器地址的段地址、偏移地址与物理地址。 (1)2314:0035 (2)1FD0:000A 四.计算题 计算下列各题,请写出计算过程,解释为什么?(不解释或解释错误无分) 1.计算3AC8H + 91FDH,并说明各状态标志位的值是什么? 2.请按8421BCD码的修正法则,计算5479H + 4587H。 五.画图题 要求用2K ′ 4的存储芯片,构成4K容量的存储容量,要求地址从1800H开始。画出存储器与微处理器的连接图;并说明各组存储区的地址范围。(设:地址总线为16根,数据总线为8根,要求存储器地址连续) 六.编程题 1.用8086汇编语言编辑一程序段,求AX中“0”的个数,并存储在BX中。 2.用8086汇编语言编辑一完整程序,求8AAF32H+000BA84H的和。

微机原理(第三版)课后练习答案

1 思考与练习题 一、选择题 1.计算机硬件中最核心的部件是( )。C A.运算器 B.主存储器 C.CPU D.输入/输出设备 2.微机的性能主要取决于( )。 A (B——计算机数据处理能力的一个重要指标) A.CPU B.主存储器 C.硬盘 D.显示器 3.计算机中带符号数的表示通常采用( )。C A.原码 B.反码 C.补码 D.BCD码 4.采用补码表示的8位二进制数真值范围是( )。C A.-127~+127 B.-1 27~+128 C.-128~+127 D.-128~+128 5.大写字母“B”的ASCII码是( )。B A.41H B.42H C.61H D.62H 6.某数在计算机中用压缩BCD码表示为10010011,其真值为( )。C A.10010011B B.93H C.93 D.147 二、填空题 1.微处理器是指_CPU_;微型计算机以_CPU_为核心,配置_内存和I/O接口_构成;其特点是_(1)功能强 (2)可靠性高 (3)价格低 (4)适应性强 (5)体积小 (6)维护方便_。P8 P5 2.主存容量是指_RAM和ROM总和_;它是衡量微型计算机_计算机数据处理_能力的一个重要指标;构成主存的器件通常采用_DRAM和PROM半导体器件_。P5 P9 3.系统总线是_CPU与其他部件之间传送数据、地址和控制信息_的公共通道;根据传送内容的不同可分成_数据、地址、控制_3种总线。P9 4.计算机中的数据可分为_数值型和非数值型_两类,前者的作用是_表示数值大小,进行算术运算等处理操作_;后者的作用是_表示字符编码,在计算机中描述某种特定的信息_。P12 5.机器数是指_数及其符号在机器中加以表示的数值化_;机器数的表示应考虑_机器数的范围、机器数的符号、机器数中小数点位置_3个因素。P15 P16 6.ASCII码可以表示_128_种字符,其中起控制作用的称为_功能码_;供书写程序和描述命令使用的称为_信息码_。P18 P19 三、判断题 1.计算机中带符号数采用补码表示的目的是为了简化机器数的运算。( )√ 2.计算机中数据的表示范围不受计算机字长的限制。( )× 3.计算机地址总线的宽度决定了内存容量的大小。( )√ 4.计算机键盘输入的各类符号在计算机内部均表示为ASCII码。( )× (键盘与计算机通信采用ASCII码) 2 思考与练习题 一、选择题 1.在EU中起数据加工与处理作用的功能部件是( )。A A.ALU B.数据暂存器 C.数据寄存器 D.EU控制电路 2.以下不属于BIU中的功能部件是( )。 B A.地址加法器 B.地址寄存器 C.段寄存器 D.指令队列缓冲器

相关主题
文本预览
相关文档 最新文档