当前位置:文档之家› 电子线路CAD实验报告

电子线路CAD实验报告

电子线路CAD实验报告
电子线路CAD实验报告

学生实验报告

(理工类)

课程名称:电子线路CAD 专业班级:12计算机科学与技术(1、2)学生学号: 1205104003 学生姓名:吴恩慧

所属院部:计算机工程指导教师:何云红

2014——2015学年第 1 学期

金陵科技学院教务处制

实验报告书写要求

实验报告原则上要求学生手写,要求书写工整。若因课程特点需打印的,要遵照以下字体、字号、间距等的具体要求。纸张一律采用A4的纸张。

实验报告书写说明

实验报告中一至四项内容为必填项,包括实验目的和要求;实验仪器和设备;实验内容与过程;实验结果与分析。各院部可根据学科特点和实验具体要求增加项目。

填写注意事项

(1)细致观察,及时、准确、如实记录。

(2)准确说明,层次清晰。

(3)尽量采用专用术语来说明事物。

(4)外文、符号、公式要准确,应使用统一规定的名词和符号。

(5)应独立完成实验报告的书写,严禁抄袭、复印,一经发现,以零分论处。

实验报告批改说明

实验报告的批改要及时、认真、仔细,一律用红色笔批改。实验报告的批改成绩采用百分制,具体评分标准由各院部自行制定。

实验报告装订要求

实验批改完毕后,任课老师将每门课程的每个实验项目的实验报告以自然班为单位、按学号升序排列,装订成册,并附上一份该门课程的实验大纲。

一、封面:(注意:类似此页的内容最后上交时需要删除掉。)

第1页和第2页正反面打印,作为所有实验的封面。

二、具体要求:

1、每个同学每个实验报告都需要写电子稿的实验报告,具体要求如下文所示;

2、每个实验的电子稿结果都需要上交:每人建立“学号姓名”文件夹,将每次实验建立一个.ddb的设计数据库文件,如实验一.ddb、实验2.ddb等;如果实验中包含多个设计数据库文件,则新建如”实验一”的文件夹,然后将实验一所有设计数据库放入其中。

3、对于平常课本上的一些练习,另建立文件夹“学号姓名平时练习”,将所有练习以图号.ddb命名,放入平时练习文件夹中,如图2-116.ddb等。

4、每个设计数据库原理图右下角标题栏内必须用“特殊字符串”写上每位同学的“学号姓名”。

5、实验报告正文部分内容文字均用五号,1.5倍行距,小标题不需要改动。

6、所有同学的设计数据库及实验报告不能雷同,否则平时成绩0分。)

实验项目名称:1Protel99SE的基本操作实验学时: 1

同组学生姓名:无实验地点:工科楼A101 实验日期: 2014年9月19日实验成绩:

批改教师:批改时间:

一、实验目的和要求

目的:Protel99SE的基本操作主要包括文件的建立,导入导出,为文件进行加密,创建工作组及设置成员权限等操作。本训练的目的主要是掌握这些基本操作。

要求:

(1)按要求认真操作实验步骤中的每一条。

(2)做完实验后给出本实验的实验报告。

二、实验仪器和设备

硬件环境:奔腾IV 2.93GHzCPU,512MB内存,80GB硬盘

软件环境:Windows XP操作系统,Protel99 SE软件

三、实验内容与过程

1、启动Protel99SE,在E盘建立名为Protel的文件夹,并在文件夹中建立名为lx1.ddb 设计数据库文件。

2、在上题数据库中建立一个名为小信号功率放大器电路的原理图文件(Schematic Document)、一个名为小信号功率放大器电路的印刷电路板文件(PCB Document),并打开然后在小信号功率放大器电路的原理图文件中放置几个元件并保存,然后将小信号功率放大器电路.SCH、小信号功率放大器电路. PCB导出到桌面上。

3、选择适当的菜单命令,将上题打开的文件窗口进行水平分割、垂直分割及平铺。

4、在Protel的文件夹中建立名为lx2.ddb设计数据库文件,文件类型Windows File System。

5、在Protel的文件夹中建立名为lx3.ddb设计数据库文件,文件类型MS Access Database,并对数据库进行加密,密码为123456。观察Windows File System及MS Access Database 两种类型的区别。

6、在Protel的文件夹中建立名为lx4.ddb设计数据库文件,将步骤2中桌面上的小信号功率放大器电路.SCH导入。

7、在Protel的文件夹中建立名为lx5.ddb设计数据库文件,创建两个设计组成员(Guest)zhanghong和wangyan,分别输入密码。设置工作权限,admin的权限为(Read)、写(Write)、删除(Delete)、创建(Create),Guest的权限为(Read)、写(Write)。

四、实验结果与分析

1.打开protel,文件菜单下选择“新建”命令在E盘protel文件下建立设计数据库1x1.ddb 2.打开lx1.ddb中documents,新建Schematic Document,命名为小信号功率放大器电路原理图。新建PCB Document,命名为小信号功率放大器电路印刷板,打开小信号功率放大器电路原理图.sch,Browse sch中选择几个器件,place—>tab修改器件属性(名称,编号等),保存。单击文件管理器中小信号功率放大器电路原理图.sch,文件→导出—>保存到桌面,小信号功率放大器电路.PCB同样。

3.右击小信号功率放大器电路原理图.sch窗口,split vertical/split horizontal

/tile all

4. 打开Protel→文件-->新建设计,lx2.ddb, 文件类型Windows File System,选择E盘protel文件夹-->确定

5. 打开Protel→文件-->新建设计,lx3.ddb, 文件类型MS Access Database,选择E盘protel文件夹-->单击password,密码为123456—>确定

6. 打开Protel→文件-->新建设计,lx4.ddb,文件—>import,选择桌面上的小信号功率放大器电路.sch,打开

7. 打开Protel→文件-->新建设计,lx5.ddb,文件菜单,新建成员,名字zhanghong,描述Guest,设置密码。新建成员,名字Wangyan,描述Guest,设置密码。Permissions,右击admin,设置权限读(Read)、写(Write)、删除(Delete)、创建(Create),右击Guest 的,设置权限为(Read)、写(Write)。

五、实验思考题及实验心得

1、实验思考题

当文件或文件夹处于何种状态时,不可对设计文件或文件夹进行改名?

答:在文件或文件夹被打开状态时,不可对设计文件或文件夹进行改名。只有文件或文件夹在处于关闭状态时。可对设计文件或文件夹进行改名。

2、实验心得

通过本次实验,我学会了Protel99SE的基本使用方法,初步掌握设计数据库的概念和创建设计数据库的方法,熟悉了Protel99SE的设计界面,熟练掌握对设计数据库的文件夹和文件的操作,并能创建电路原理图文件及其建立打开和关闭等基本操作。

实验项目名称:2原理图的环境设置实验学时: 1

同组学生姓名:无实验地点:工科楼A101 实验日期: 2014年9月19日实验成绩:

批改教师:批改时间:

一、实验目的和要求

目的:环境设置主要使用菜单Design/Options和Tools/Preferences。本实验的目的是通过练习,掌握使用这两个菜单设置设计环境的方法。

要求:

(1)按要求认真操作实验步骤中的每一条。

(2)做完实验后给出本实验的实验报告。

二、实验仪器和设备

硬件环境:奔腾IV 2.93GHzCPU,512MB内存,80GB硬盘

软件环境:Windows XP操作系统,Protel99 SE软件

三、实验内容与过程

1、启动Protel 99 SE,在E盘建立名为Protel的文件夹,在Protel的文件夹中建立名为Myfirst.ddb设计数据库文件,新建原理图文件,命名为Lx1.sch。设置图纸大小为A4,水平放置,工作区颜色为220号色,边框颜色为45号色。标题栏设置:用“特殊字符串”设置制图者为你的姓名、标题为“功放”,字体为华文彩云,颜色为121号色。

2、在Myfirst.ddb中创建原理图文件,命名为LX2.sch。自定义图纸大小:宽度为850、高度为450,垂直放置,工作区颜色为216号色。网格设置:SnapOn为10 mil,Visible 为10 mil。字体设置:系统字体为仿宋体、字号为8,字形为斜体。可视网格为点状,取消重复操作次数为60次。标题栏设置:用“特殊字符串”设置文档编号为“1-10”、标题为“稳压电源”,字体为华文行楷,颜色为238号色,不显示图纸的参考边框。

3、在Myfirst.ddb中创建原理图文件,命名为LX3.sch。标题栏设置:标题栏显示方式为ANSI,用“特殊字符串”设置地址为:南京市白下路314号,10 张原理图中的第一张。不显示图纸的参考边框。把光标设置成大十字45度。

4、在Myfirst.ddb中创建原理图文件,命名为LX5.sch电路原理图文件。练习打开及关闭Main Tools(主工具栏)、Wiring Tools(布线工具栏)、 Drawing Tools(绘图工

具栏)、 Power Objects(电源及接地工具栏)、 Digital Objects(常用器件工具栏)、Simulation Sources(信号仿真源工具栏)、 PLD Toolbar(PLD工具栏),放大及缩小原理图。

四、实验结果与分析

1.启动Protel 99 SE,在E盘建立名为Protel的文件夹,在Protel的文件夹中建立名为Myfirst.ddb设计数据库文件,新建原理图文件,命名为Lx1.sch,)design,options,orientation设置为landscape,standard为A4,sheet颜色选择220号,boder选择45号。tools,preference,graphical editing ,勾选convert special strin,design,options,organization, title为功放,address为姓名。Drawing tools,T,taba键,设置字体为华文彩云,颜色为121号色

2. Documents中新建LX2.sch,design,options,orientation设置为portrait, sheet 颜色选择216号,use custom宽度为850、高度为450。Grids, SnapOn为10 mil,Visible 为10 mil.change system font,仿宋,8号,斜体。tools,preference,cursor/grid options,visible dot grid,stack size 60。design,options,design,options,organization, title为稳压电源, No 为1-10,sheet options中,不勾选show reference , Drawing tools,T,taba键,选择title设置字体为华文行楷,颜色为238号色。

3. Documents中新建LX3.sch, design,options,title ANSI。design,options,design,options,organization, address为南京市白下路314号,sheet No为1,total为10,sheet options中,不勾选show reference , Drawing tools,T,taba键,选择address2设置字体为华文行楷,颜色为238号色。tools,preference,cursor/grid options,cursor 设置为small cursor 45。

4.文件,新建LX

5.sch电路原理图文件。view,toolbars,单击各工具条悬浮在图纸上。

五、实验思考题及实验心得

1、实验思考题

在编辑原理图的过程中,更换图纸尺寸、方向时,如果发现原理中部分元件超出图纸边框该怎么办?

在编辑原理衅的过程中,更换图纸尺寸,方向时,如果发现原理中部分元件超出图纸边框,可执行“Dsign”菜单下的”Options…”命令,选择原来或更大的图纸尺寸。如果电路图尺寸并不大,只是位置太偏,才超出新选定图纸的边框。如果电路图太大,无论如何调整,在

新选定的图纸上还是无法容纳时,就只好放弃使用更小尺寸的图纸。

2、实验心得

通过本次实验,学会了环境设置主要菜单Design/Options和Tools/Preferences通过练习,掌握使用这两个菜单设置设计环境的方法,学习中特殊字符串花费的功夫比较多,要在设置文本之前先设置自动转换成特殊字符串功能。

实验项目名称:3简单原理图的绘制与编辑实验学时: 1

同组学生姓名:无实验地点:工科楼A101 实验日期: 2014年9月26日实验成绩:

批改教师:批改时间:

一、实验目的和要求

目的:

1、熟悉画原理图工具的使用,掌握画原理图基本操作方法。

2、掌握简单原理图的绘制与编辑方法,能够绘制简单原理图。

要求:

(1)按要求认真操作实验步骤中的每一条。

(2)做完实验后给出本实验的实验报告要求写

二、实验仪器和设备

硬件环境:奔腾IV 2.93GHzCPU,512MB内存,80GB硬盘

软件环境:Windows XP操作系统,Protel99 SE软件

三、实验内容与过程

[练习1] 新建:实验3.DDB文件,然后新建:练习1.SCH文件,向原理图中放置阻值为10k的电阻、容量为1微法的电容、型号为1N4007的二极管、型号为2N2222三极管、单刀单掷开关和4脚连接器。注意修改属性。

[练习2] 在练习1的基础上,练习:

(1)选择10k电阻,复制并粘贴该电阻,然后取消选择;

(2)删除二极管,并用恢复按钮将二极管恢复。

(3)删除三极管。

(4)删除电容,然后粘贴该电容。

(5)用鼠标选择几个元件,然后删除这些被选择的元件。

(6)将该电路图存盘。

[练习3] 试关闭和使能电气捕捉栅格,观察电气栅格在连线时具有的作用。

[练习4] 试关闭和使能电气连接点,观察画"丁"字连线时的区别。

[练习5] 放置网络标记,试更改字型和字号,并连续放置D1-D9十个网络标记。

[练习6] 选取电源和地线工具,试更改它们的形状和标记。

[练习7] 按照下图画一个共发射极放大电路,电路中的元件都取自Miscellaneous Devices.lib库。

(1)要求图纸尺寸为A4,去掉标题栏,关闭显示栅格,使能捕捉栅格和电气栅格,使能自动连接点。

(2)画完电路后,要按照图中元件参数逐个设置元件属性,但是元件要自动编号,并进行电气规则检查。

(3)最后形成该电路的网络表。

[练习8] 将练习7所示的电路复制并粘贴到文字处理软件Word软件中,注意在粘贴前,应该使用文字功能,在要粘贴的图形四周分别放置一个字号为八号的小点".",在选择粘贴的图形时,注意选择小黑点。同时还要注意在粘贴时去掉模板,否则粘贴的是一张图而不是被选择的图形。提示:

(1)执行Tools/Preferences菜单,去掉模板选项(Add Template to Clipboard)。

(2)首先使用画图工具箱上的文字放置按钮,在电路图四周放置最小字号小数点。

(3)用鼠标选择电路和小数点。

(4)执行菜单Edit/Copy,用变成十字光标的鼠标单击被选择的原理图。

(5)启动Word软件,建立Word文件。

(6)使用Word软件中粘贴(Edit /Paste)菜单将原理图粘贴到Word文件中。

(7)在Word软件中的图片工具条中设置图片的环绕方式。

(8)在Word软件中的图片工具条中将彩色图片改为灰度图片。

四、实验结果与分析

R .

..

.

五、实验思考题及实验心得

1、实验思考题

1、在Protel99 SE中,元件电气图形符号存放在哪一文件夹下?其中分立元件,如电阻、电容、电感等存放在哪一库文件包内?74系列数字电路芯片位于哪一元件库文件中?

答:元件电气图符号存放在Design Explorer 99 SE\Library\Sch子目录下不同设计的数据库文件(.db)内。分立元件放于Miscellanenous Devices.ddb元件库文件中。74系列数字电路芯片位于sim.ddb元件库文件中。

2、画线工具内的“导线”与画图工具内的“直线”有何区别?

答:画线工具内的“导线”具有电气连接功能,而画图工具内的“直线”不具有电气特性,不能用于表示元件引脚之间的电气连接关系。同样也不能用画图工具内的“直线”连接两个元件的引脚。

2、实验心得

通过本次实验,学会熟悉了画原理图工具的使用,掌握画原理图基本操作方法,简单原理图的绘制与编辑方法,能够绘制简单原理图。

实验项目名称:4两级放大电路的设计实验学时: 1

同组学生姓名:无实验地点:工科楼A101 实验日期: 2014年9月26日实验成绩:

批改教师:批改时间:

一、实验目的和要求

目的:

1、掌握原理图绘图工具的使用,能够熟练绘制导线、放置节点、放置电源与接地符号。

2、掌握画原理图基本操作,学会绘制原理图。

要求:

[练习2]画好图后:

(1)请进行电气规则检查(选择Tools/ERC 菜单)。

(2)请做元件表(选择Report/Bill of Material 或Edit/Export to Spread 菜单) (3)请做网络表(选择Design/Create Netlist )

四、实验结果与分析

.

.

.

.

[ R1 100K

] [ R2

15K

]

[

R3

10K

]

[

R4

5K

]

(

NetC1_2 C1-2

Q1-1

R1-1

R2-2

)

(

NetC2_2 C2-2

J3-1

)

( NetJ1_2 C1-1

J1-2

)

(

NetR1_2 J2-1

R1-2

R3-2

)

(

NetR3_1 C2-1

R3-1

)

(

VCC

J1-1

J2-2

J3-2

R2-1

R4-1

)

五、实验思考题及实验心得

1、实验思考题

1、当操作者无法确定待放置元件的电气图形符号位于哪一元件电气图形库文件时,该怎么办?

答:可单击原理图编辑窗口内元件列表窗口下的“Find”按钮,在“Find Schematic Component”窗口内的“Find Component”文本内输入待查找的元件名,然后设置查找范围,单击“Find Now”按钮,启动原件查找操作。

2、Report/Bill of Material和Edit/Export to Spread菜单生成的报表有何区别?

答:Report/Bill of Material菜单生成的元件清单包括“Footprint”,“Description”。

Edit/Export to Spread菜单生成更详细的元器件清单,可以检查封装。

2、实验心得

通过本次实验我掌握原理图绘图工具的使用,能够熟练绘制导线,放置节点,放置电源与接地等号。掌握画原理图基本操作,学会绘制原理图。在绘制原理图过程中,由于元件位置放置不当,在连线时带来一些麻烦。

实验项目名称:5优先编码器的设计实验学时: 2

同组学生姓名:无实验地点:工科楼A101 实验日期: 2014年10月10日实验成绩:

批改教师:批改时间:

一、实验目的和要求

目的

1、掌握元件库管理器的使用方法,会放置元件、编辑属性,掌握元件移动、复制和删除的方法。

2、掌握画原理图的基本操作,学会绘制原理图。

要求

(1)按要求认真操作实验步骤中的每一条。

(2)画图时注意美观,尽量使得图形紧凑一些。

(3)做完实验后给出本实验的实验报告。

二、实验仪器和设备

硬件环境:奔腾IV 2.93GHzCPU,512MB内存,80GB硬盘

电气规则检查和网络表。

四、实验结果与分析

.

.

这次实验主要是电原理的编辑。这次的实验的元件相对比较多,但我们可以通过一些命令来对这引起元件的绘制。可能通过执行“tools”菜单下的“toggle selection”命令,将光标移到第一条导线上,单击鼠标左键,使导线处于选中状态,再退出连续选择命令状态。执行“edit”菜单下的”copy”命令,再将光标移到被选中的导线上,再复制操作的参考点。执行“edit”菜单内的“clear”命令,删除第一条导线。执行“画图”工具栏内的“粘贴阵列”,输入粘贴的数目。将光标移到绘图区适当的位置,单击鼠标左键,即可观察到自动生成一组导线段。这样就可以节约了大量的时间也提高画图的速度。

五、实验思考题及实验心得

1、实验思考题

1、如何快速地画出一组同样的元件?

答:可利用画图工具内的“Setup Array Placement(阵列粘贴)”工具,具体操作如下:先画出一个元件将其属性设置好后,执行“Edit”菜单下的“Toggle Selection”

命令,左击该元件选择,再右击鼠标,退出连续选择命令状态。执行“Edit”菜单下的“Copy”命令,讲鼠标移到被选中的元件内,单击鼠标左键,确定复制操作参考点,执行“Edit”菜单下的“Clear”命令删除组内的第一个元件。最后执行画图工具栏内的

“粘贴阵列”攻击,在“Setup Paste Arrayt(阵列粘贴)”属性选项框内输入需要的数目、各粘贴单元间的水平与垂直距离等参数单击“OK”确认。

2、用到一个集成块里不同引脚的同样元件时该如何处理?如果设置错误会出

现什么样的问题?

答:因将其引脚名设为不同;如果设置错误在DRC规则检查时会提示引脚出错的信息。

2、实验心得

通过本次实验,学会了画原理图的绘制。掌握元件库管理器的使用方法,会放置元件、编辑属性,掌握元件移动、复制和删除的方法。掌握画原理图的基本操作,学会绘制原理图。知道如何通过一些命令不仅可以提高画图的速度,而且也轻松多了。因为前几次实验的原故,这次相比以前轻松了一些。但是速度还是有点点慢慢。

CAD课程设计报告

盐城工学院 《电子线路CAD》课程设计报告 设计题号: 第五题 姓名: 邓钟鸣 学院: 信息工程学院 专业: 电科 班级: 141 学号: 33 日期 2016年 12月26日——2017年1月13日 指导教师: 曹瑞、朱明

目录 一、摘要 (1) 二、设计的任务与要求 (1) 三、软件介绍 (1) 四、画图的步骤 (3) 五、设计总结 (20) 六、参考文献 (21) 附录: 附录1.原理图 附录2.PCB图

一、摘要 电子线路CAD是从实用角度出发,详细介绍了Altium Designer的实用功能,可以引导读者轻松入门,快速提高。全面介绍了Altium Designer的界面、基本组成及使用环境等,并详细讲解了电路原理图的绘制、元件设计、印制电路板图的基本知识、印制电路板图设计方法及操作步骤等,详细讲解了电路从电路原理图设计到印制电路板图输出的整个过程。 关键词:Altium Designer软件;电路原理图设计;电路板; 二、设计的任务与要求 1.锻炼学生将理论用于实际和动手的能力以及更熟练的使用Altium Designer软件 2.使学生学会绘制电路原理图、电路查错、仿真、PCB(Printed Circuit Board,印刷电路板)设计 3、掌握元件封装的方法 意义:通过这次Altium Designer期末考试以及报告的设计,提高思考能力和实践能力。同时通过本课题设计,巩固已学的理论知识,建立逻辑数字电路的理论和实践的结合,了解各单元电路之间的关系及相互影响,从而能正确设计、计算各个单元电路。而且更加掌握的Altium Designer该软件的使用,对原理图的绘制和PCB的布局以及电路的仿真都有了进一步的理解。 三、软件介绍 软件概述: Altium Designer 是Altium澳大利亚公司推出的一个全32位的电路板设计软件。该软件功能强大,人机界面友好,易学易用,使用该软件的设计者可以容易地设计出电路原理图和画出元件设计电路板图。而且由于其高度的集成性与扩展性,一经推出,立即为广大用户所接受,很快就成为世界PC平台上最流行的电子设计自动化软件,并成为新一代电气原理图工业标准。 Altium Designer主要有两大部分组成,每一部分个有几个模块,第一部分是电路设计部分,主要有:原理设计系统,包括用于设计原理图的原理图编辑器Sch,用于修改和生成原理图元件的原件编辑器,以及各种报表的生成器Schlib。印刷电路板设计系统,包括用于设计电路板的电路板编辑器PCB以及用于修改,生成元件封装的元件封装编辑器PCBLib。第二部分是电路仿真与可编程逻辑器件设计。 Altai Designe采用数据库的管理方式。Altium Designe软件沿袭了Protel 以前版本方便易学的特点,内部界面与Protel 99大体相同,新增加了一些功

cad实训报告总结(最新篇)

cad实训报告总结 cad实训报告总结 CAD实训总结 时间过的很快,一转眼就到了CAD实训周结束的时候了,记得在实训的第一天,老师给我们将了这周实训的任务安排,讲述了本周实训的主要内容,实训目的以及意义所在,然后交代了一些细节方面的问题,强调应当注意的一些地方,以及考试成绩打分等。 虽然时间很短很紧,但是我一直认认真真去绘制每一个图,思考每一个细节,作图步骤,哪怕是一个很小的问题。的确,在作图的过程中我遇到了不少的难题,但都在同学的帮助下,一个个的被我解决,自己难免会感到有点高兴,从而增加了对CAD的浓厚兴趣。 说到它的使用性,相信许多同学都有同感。我们从书上得知,CAD 可以绘制机械、建筑、航空、石油等多方面的二维平面图形和三维立体图形等等,所以说它的使用性是非常之广泛的。我们在绘制图形的时候要注意线条的宽度,字体的美观。现在用CAD就完全没有这方面的问题,粗细线条全用特性来规范,一目了然。尺寸也相当准确,在命令提示行里输入多少就是多少,也不用拿着丁字尺在图纸上比画来比画去,到头来还是达不到十分准确。画线线连结、圆弧连接的时候CAD在尺寸方面的优势就更加明显,比如画圆与直线相切的时候,手工绘图只能凭感觉觉得差不多就画上去,每一条画得都不一样,怎么看都不对称。用CAD画,打开对象捕捉就能把切点给抓进来,又快又准!尺寸标注更是快捷,两个点一拉,左键一点就完成一个标注,不用画箭头,不用标文字,只要自己设计好标注格式,计算机就能按要求标

出来。插入文字也很方便,在多行文本编辑器里输入文字内容就能出来绝对标准的国标字,比起我们手写的字就美观漂亮的多!粗糙度、基准符号、标题栏等做成块就可以随意插入,用不着一个一个地画了,用起来确实很快! 尺寸标注是工程制图中的一项重要在绘制图形是时,仅仅绘制好的图形还不能看什么来,也不足以传达足够的设计信息。只有把尺寸标住标在自己的图形上面,就会让看图者一目了然,CAD提供的尺寸标注功能可以表达物体的真实大小,确定相互位置关系使看图者能方便快捷地以一定格式创建符合行业或项目标准的标注。CAD中的标注尺寸有线性尺寸、角度直径半径引线坐标中心标注以及利用Dim命令标注尺寸,在设置尺寸标注,尺寸标注实用命令,利用对话框编辑尺寸对象标注形位公差以及快速标注只要弄懂了上面的各个标注你就可以字图形上标注各种尺寸。 最后,学习CAD的目的就是能够完成与之相关的实际绘制任务,在实际工作中能够更快更准的完成制图作业。本次的CAD实训就是运用前面所有的各种绘图工具与编辑工具进行绘制的,希望通过这次的复习和巩固在加上以后的完善能够更灵活快速准确的绘制各种图形从而发挥出CAD的巨大作用! 今天是最后是CAD实训的最后一天。结合我自身对CAD的学习情况,我将继续练习使用CAD,做到能够把它运用得得心应手、挥洒自如,使它成为我今后学习和工作的好助手! 附送: CCTV杯全国英语演讲大赛主持词

CAD课程设计报告

计算机辅助设计 课程设计报告 课程名称计算机辅助设计 设计题目千斤顶的二维工程图和三维建模专业班级工程力学02 学生姓名齐静学号20097235起止日期2012.1.4至2012.1.13

重庆大学本科学生课程设计任务书 课程设计题目计算机辅助设计 学院资源及环境科学学院专业工程力学年级2009 已知参数和设计要求: 已知参数为:某千斤顶的二维工程图样。 设计要求:根据千斤顶的二维工程图样,用CAD软件完成千斤顶的二维工程图和三维建模,并完成课程设计报告。 学生应完成的工作: 学生用CAD软件完成千斤顶的二维工程图和三维建模,并提交相应的课程设计报告。 目前资料收集情况(含指定参考资料): 千斤顶的二维图样。 课程设计的工作计划: 1、计算机辅助设计上机时间安排: 2012年1月4日至2012年1月13日 2、计算机辅助设计上机地点: A理119 任务下达日期2011年12月30日完成日期年月日指导教师(签名)学生(签名) 说明:1、学院、专业、年级均填全称,如:光电工程学院、测控技术、2003。 2、本表除签名外均可采用计算机打印。本表不够,可另附页,但应在页脚添加页码

2.1千斤顶的二维制图 2.1.1绘制二维图的基准图 1、打开“AutoCAD”,选择“格式”|“图层”命令,弹出“图层特性管理器”窗口,新建“粗实线”、“细实线”、“中心线”、“文字说明”、“虚线”五个图层。设置如下图: 2、选择“格式”|“线型”命令,将全局比例因子设为“0.4”;选择“格式”|“线宽”命令,将“显示线宽”勾选;选择“标注”|“标注样式”,弹出“标注样式管理器”窗口,单击“修改”,修改如下: 3、保存当前绘图,命名为“基准图”,并关闭。 2.1.2绘制二维底座零件图 1、打开“基准图”,选择“粗实线”图层,绘制“200x287”的边框,按照1:1的比例绘制底座零件图并标注,按照要求写好文字说明;

电子线路CAD课程设计报告出租车计价器

电子电路CAD课程设计 课题名称出租车计价设计 所在院系 班级 学号 姓名 指导老师 时间

目录 第一章引言 (4) 第二章设计方案 (5) 2.1出租汽车里程计价表设计的要求及技术指标 2.2设计方案论证 第三章电路原理图的绘制 (7) 第四章电路板图的绘制 (8) 第五章课程设计总结 (12) 第六章电子元件清单 (13) 第七章总电路原理图 (14) 第八章参考文献 (16)

第一章引言 随着生活水平的提高,人们已经不再满足于衣食住的享受,出行的舒适已经受到越来越多人的关注。于是,出租车行业低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷,困扰着行业的发展。而在出租车行业中解决这矛盾的最好的方法就是使用计价器,用规范的价格来为乘客提供更加方便快捷的服务。同时,出租车计价器是乘客与司机双方的交易准则,是出租车行业发展的重要标志,它关系着交易双方的利益。现在,城市建设日益加快,象征着城市面貌的出租车行业也将加快发展,计价器的普及是毫无疑问的,所以未来出租车行业计价器的市场是很有潜力的。本文是为了探索计价器的设计而制作的。随着计算机和信息技术的发展,EDA(Electronic Design Automation,电子设计自动化)技术已经代替了传统手工设计和制作印刷电路板的方法,成为现代电子工程领域的一门新技术。EDA技术的发展和推广极大地推动了电子工业的发展,由此各类EDA工具软件也如雨后春笋般地蓬勃发展起来。原理图设计、PCB设计、电路仿真和PLD设计都是EDA设计技术中的重要组成部分,而Altium公司(原Protel Technology公司)推出的Protel DXP软件全面集成了EDA设计几大技术,而且它还包含了电路仿真印刷电路板的信号完整性分析、可编程逻辑器件FPGA数字电路设计和VHDL硬件描述语言的应用

CAD实验报告

实验一电原理图的编辑 一、实验目的: 1、了解Protel 99 SE的启动、绘图环境、各个功能模块、界面环境设置方法和文件管理方法。 2、掌握电路原理图的设计步骤、Portel 99 SE电路原理图设计环境,图纸设置的内容和方法。 3、掌握装载元器件库,放置、编辑和调整元器件,设置网格、电气节点和光标形状的方法,并能绘制简单的电原理图。 二、实验设备: 装有protel 99 se 软件的PC机一台。 三、实验要求: 1.试验前,仔细阅读教材相关内容,设计能够完成试验内容的试验步骤, 写好试验预习报告。 2.试验后,完成试验报告,其中的试验步骤应是经过试验证明为正确的步 骤。 四、试验内容: 1.查看Protel 99 SE的运行环境,包括所用机器的硬件与软件环境。 2.学习使用Protel 99 SE的基本操作,包括进入Protel 99 SE主程 序、菜单操作,工具栏操作及退出等基本操作。 3.打开安装目录\Examples\Z80 Microprocessor.ddb设计数据库,通 过打开其中的各种设计文件熟悉Protel 99 SE的绘图环境,各个功 能模块,界面环境,并练习Protel 99 SE的文件管理功能。 4.在自己的用户目录下,创建一个自己的设计数据库,新建一个原理 图文件并打开。(设计数据库、原理图文件的主文件名自定) 5.设置自己喜爱的绘图环境,如图纸类型、尺寸、底色、光标形状、 可视栅格、栅格形状、大小等等。 6.在新建的原理图文件中绘制教材图2-10所示的原理图。 五、实验步骤: 1.安装并启动Protel 99 SE,单击桌面上的Protel 99 SE快捷方式打开

电子线路CADI课程设计报告

电子线路CADI 课程设计报告 电子11-1班 陈小明 1105110109 一、设计目的: 1、掌握专业基础知识的综合应用能力。 2、通过Mutisim 软件,掌握电子电路局部电路的设计、调试、仿真及分析能力。 3、完成设计电路的原理设计、仿真分析、故障排除。 4、逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 二、设计虚拟仪器及器件 虚拟示波器、信号发生器、数字万用表、集成放大器等 三、设计原理及内容 (一)、设计题 1、函数发生电路 应用模拟集成乘法器与集成运算放大器,设计函数发生电路。函数形式为:运算电路实现2 i i i o cu bu dt u a u ++=? 。用积分运算电路和反响比例运算电路实现Uo1=?1 RC ∫μi dt ,运用同相比例运算电路实现Uo2=(1+Rf R )μi ,运用乘方运算电路实现Uo3=k μi 2,最后用同向求 和运算电路实现Uo=Uo1+Uo2+Uo3。

2、方波电路。 由迟滞比较器和RC电路组成,RC回路作为延迟环节和反馈网路。由于电路中二极管D1、D2的单向导电性,使电容C的充放电回路分开,调节电位器,就可以调节多谐振荡器 ≈的占空比。通过改变Rw1的大小来使电容正反向充电常数进而改变占空比,公式为q=T1 T Rw1+R3 Rw+2R3

(二)、指定电路分析题 1、大范围可变占空比方波产生电路 555定时器用作延时控制。电路中二极管D1、D2的单向导电性,使电容C的充放电回

路分开,调节电位器,可以调节多谐振荡器的占空比。 2、两级放大电路原理图 该电路为共发射极电路,阻容耦合式两级基本放大电路。输入信号经前级放大后作为后级的输入再经后级放大电路放大,总放大倍数为前后级放大倍数的乘积。C3使各级的静态工

cad实训心得总结

cad实训心得总结 计算机辅助设计(CAD)实训 按照课程按排,我们进行了两周的CAD实训。 从上个学期的手工画图,到这个学期的电脑画图,感觉到先进的技术人们带来了快速和方便。上个学期的手工画图,即麻烦又不方便。用铅笔和尺子趴在桌子上埋头的画,由于受人和仪器的原因,图画的误差可能比较大,从而影响了图画的质量,工程图的好坏对工程的影响是很大的,可能导致豆腐渣工程,危害人们的生命财产,这是不允许的。另外,手工画图的速度也比较慢,一幅图手工画可能要一天,甚至更长。但用电脑CAD软件来画,熟练的人两个小时左右就可以画完,并且精度比手工画的图远远要高。 从学CAD的那一刻起,我就感到了画图的方便和快速。我期待着CAD实训周的到来,我希望能从这两周的实训学到更多的东西和提高自己的操作水平。实训周的第一天,我满怀期待地来到我们实训的电脑室。进入电脑室后,按号入座,迅速打开电脑就操作起来,第一天的实训就这样开始了。俗话说得好“万事开头难。”第一天实训就遇到了不少麻烦。我自己也有一台电脑,闲暇的时候也会打开CAD软件画画图,经过一段时间后,自己感觉画图的速度也挺快的,信心比较充足。但由于在我的电脑和学校的电脑之间的不适应,一个早上下来,

连一幅图也没有画完,感觉有些沮丧,没想到实训第一天就让我跌了一大跤。想到我们大部分时间都是在机房里面实训并且大部分的图都是用机房的电脑来完成绘制的,我就勤奋地操作画图,希望一段时间后操作速度能大大的提高,在规定时间内完成作图。另外,在 画图的细节上我也有不少错误的地方。比如,有些线条该用红色的,而我用了白色;一些线型的比例和一些文字的高度也有弄错的等等。我只好边练习,边向老师和同学询问和讨教,这样使我减少了很多细节上的错误。从中我感受到了大家集中一起来作图的好处,不懂的地方可以向周围的同学还有老师讨教,从而迅速地把不懂的问题解决掉,有助于更好地完成作图。 实训的第二天和第三天,我都比较早地来到电脑机房加紧作图。这两周的实训要完成建筑平面图、立面图、剖面图的绘制。实训的第一阶段主要是系统学习CAD绘图基本知识和基本操作命令,学习绘制简单构件,并且学习CAD工作界面各组成部分的功能和作用,以检测我们上课质量和运用CAD绘图的熟练度。在这些基础之上感觉运用CAD绘图轻松了许多,使我对后期完成建筑图的绘制又慢慢有了信心。除了在老师规定的时间在电脑室作图外,我还利用其他的时间继续练习作图,回到宿舍里,休息一段时间,又继续作图。没办法啦,苯鸟不先飞就会饿死,两个星期的实训,再加上中途机房进行维修,时间是很短暂的,不加紧练习,完成作业都没希望了。这两天的不断操作,

CAD课程设计报告书

目录 一、课程设计任务书 (1) 二、项目说明 (2) 三、配电工程图的绘制 (3) 1、图层、线型、文字等基本绘图环境的设置及绘图模板的绘制 2、主要结构尺寸及尺寸配合的确定。 3、问题及讨论。 四、心得体会 (4) 五、配电工程图 (5) 六、参考文献附 (6)

一、设计任务书 设计目的: 熟悉Auto CAD设计软件通过本课程的学习,使学生掌握CAD绘图软件的使用方法和技巧,在时间学习中逐步提高应用水平,并能应用CAD绘图软件进行供配电系统断路器设计。通过绘制供配电系统断路器设计巩固并能综合运用已学过的CAD绘图软件的有关知识,增强计算机辅助绘图的能力,使学生掌握电气设计的基本原则和方法,掌握查阅文献、收集资料、分析计算、综合论证、设计制图、数据处理等多方面的基本技能。掌握优化设计的方法、步骤。掌握变电站设计性能参数及结构掌握AutoCAD2010的常用绘图工具的使用掌握AutoCAD2010的常用编辑工具的使用 设计内容要求: 变电站是电力系统的重要组成部分,是联系发电厂和用户的中间环节。它起着变换和分配电能的作用。变电站的设计必须从全局利益出发,正确处理安全与经济基本建设与生产运行。近期需要与今后发展等方面的联系,从实际出发,结合国情采用中等适用水平的建设标准,有步骤的推广国内外先进技术并采用经验鉴定合格的新设备、新材料、新结构。根据需要与可能逐步提高自动化水平。变电站电气主接线指变电站的变压器、输电线路怎样与电力系统相连接,从而完成输配电任务,变电所的主接线是电力系统接线组成中的一个重要组成部分。一次主接线的设计将直接影响各个不同电压侧电气设备的总体布局,并影响各进出线的安装间隔分配,同时还对变电所的供电可靠性和电气设备运行、维护的方便性产生很大的影响。主接线方案一旦确定,各进出线间和电气设备的相对位置便固定下来,所以变电所的一次主接线是电气设计的首要部分 1、辉县北郊变电站施工图10kv进线柜二次进线图 2、辉县北郊变电站主变保护柜端子排图 3、辉县北郊变电站主变保护柜电气布置图 4、辉县北郊变电站主变保护原理图

电子线路CAD课程设计报告

XX大学 电子线路CAD课程设计 题目:串联直流稳压电源 学院:通信与电子工程学院 专业班级:电子122 学生XX:温凯华 指导教师:X劲松

概述 直流稳压电源应用广泛,几乎所有电器、电力或电子设备都毫不例外地需要稳定的直流电压(电流)供电,它是电子电路工作的“能源”和“动力”。不同的电路对电源的要求是不同的。在很多电子设备和电路中需要一种当电网电压波动或负载发生变化时,输出电压仍能基本保持不变的电源。电子设备中的电源一般由交流电网提供,如何将交流电压(电流)变为直流电压(电流)供电?又如何使直流电压(电流)稳定?这是电子技术的一个基本问题。解决这个问题的方案很多,归纳起来大致可分为线性电子稳压电源和开关稳压电源两类,它们又各自可以用集成电路或分立元件构成。 半导体二极管和晶体管是电子电路中常用的半导体器件,也是构成集成电路的基本单元。本工程训练主要利用这两种元器件设计制作一个分立式元器件串联反馈型稳压电源。直流稳压电源由交流电网经变压、整流、滤波、和稳压四个主要部分构成。本次设计的主要内容是围绕着如何使分立式元器件串联可调直流稳压电源输出直流电压稳定、脉动成分减小而展开的。首先介绍了全波整流电路的工作原理,接着介绍了电容滤波电路的性能特点,然后引入了具有放大环节和辅助电源的串联可调式稳压电源,并在电路中采用了提高稳定度,提高温度稳定性及限流型过流保护电路的具体措施,以确保电路安全稳定的工作。

目录 一串联直流型稳压电源整体简介3 1.1 制作串联型稳压电源的目的要求3 1.2 基本知识介绍3 二分立式元器件串联反馈型稳压电源设计与计算9 2.1串稳压电路原理9 2.2 实验设计原理图10 2.3 电路整体结构的设计与各部分相关参数的计算10 2.4电路选择11 三总结20

工程制图与CAD实习实验报告

工程制图与CAD 实习报告 学院:材料与化工学院 班级:化工 0801 学号: 姓名:李天宇 指导老师:张平 实验一:AutoCAD的基本操作 指导教师实验时间: 2011 年3月10日学院学院专业 班级学号姓名实验室 一、实验题目: AutoCAD的基本操作 二、实验目的: 1、了解AutoCAD基本概念

2、学习AutoCAD2008的基本操作 三、实验主要步骤及结果: 1、几何图形绘制 (1)启动AutoCAD 用下拉菜单“格式”→“单位”或命令行输入:UNITS↙,查看和设置绘图单位、精度(建议使用缺省值)及角度(建议使用缺省值)。 (2)设置图幅(选A3图幅)。 (3)用绘图工具栏的直线命令绘制,绘图时确定点的方式:用鼠标导向“直接距离”方式画各水平、垂直线(打开极轴);用“相对坐标”方式画斜线。 (4)将绘制的图形存盘,在后面标注尺寸时使用。 2、图框设置与绘制 (1)用“图形单位”对话框确定绘图单位(建议使用缺省值)。 (2)用“LIMITS”(图限)命令选A3图幅(长420 mm,宽297 mm)。 (3)打开正交、栅格及栅格捕捉。 (4)建图层、设线型、线宽及颜色。 粗实线白色(或黑色)实线(CONTINUOUS) 0.7 mm

细实线白色(或黑色)实线(CONTINUOUS) 0.35 mm 点画线红色点画线(CENTER) 0.35 mm 虚线蓝色虚线(HIDDENX2) 0.35 mm 尺寸白色(或黑色)实线(CONTINUOUS) 0.35 mm (5)用绘图工具栏的直线命令图标按钮绘制边框,在粗实线层上画图框线,在细实线层上画图幅线。 心得体会: 通过这次实验,我对CAD绘图有了初步的了解,掌握了 CAD中基本绘图操作以下书写实验的有关格式,仅作参考:

cad实训报告心得体会

cad实训报告心得体会 计算机辅助设计(CAD)实训 按照课程按排,我们进行了两周的CAD实训。 从上个学期的手工画图,到这个学期的电脑画图,感觉到先进的技术人们带来了快速和方便。上个学期的手工画图,即麻烦又不方便。用铅笔和尺子趴在桌子上埋头的画,由于受人和仪器的原因,图画的误差可能比较大,从而影响了图画的质量,工程图的好坏对工程的影响是很大的,可能导致豆腐渣工程,危害人们的生命财产,这是不允许的。另外,手工画图的速度也比较慢,一幅图手工画可能要一天,甚至更长。但用电脑CAD软件来画,熟练的人两个小时左右就可以画完,并且精度比手工画的图远远要高。 从学CAD的那一刻起,我就感到了画图的方便和快速。我期待着CAD实训周的到来,我希望能从这两周的实训学到更多的东西和提高自己的操作水平。实训周的第一天,我满怀期待地来到我们实训的电脑室。进入电脑室后,按号入座,迅速打开电脑就操作起来,第一天的实训就这样开始了。俗话说得好“万事开头难。”第一天实训就遇到了不少麻烦。我自己也有一台电脑,闲暇的时候也会打开CAD软件画画图,经过一段时间后,自己感觉画图的速度也挺快的,信心比较充足。但由于在我的电脑和学校的电脑之间的不适应,一个早上下来,

连一幅图也没有画完,感觉有些沮丧,没想到实训第一天就让我跌了一大跤。想到我们大部分时间都是在机房里面实训并且大部分的图都是用机房的电脑来完成绘制的,我就勤奋地操作画图,希望一段时间后操作速度能大大的提高,在规定时间内完成作图。另外,在 画图的细节上我也有不少错误的地方。比如,有些线条该用红色的,而我用了白色;一些线型的比例和一些文字的高度也有弄错的等等。我只好边练习,边向老师和同学询问和讨教,这样使我减少了很多细节上的错误。从中我感受到了大家集中一起来作图的好处,不懂的地方可以向周围的同学还有老师讨教,从而迅速地把不懂的问题解决掉,有助于更好地完成作图。 实训的第二天和第三天,我都比较早地来到电脑机房加紧作图。这两周的实训要完成建筑平面图、立面图、剖面图的绘制。实训的第一阶段主要是系统学习CAD绘图基本知识和基本操作命令,学习绘制简单构件,并且学习CAD工作界面各组成部分的功能和作用,以检测我们上课质量和运用CAD绘图的熟练度。在这些基础之上感觉运用CAD绘图轻松了许多,使我对后期完成建筑图的绘制又慢慢有了信心。除了在老师规定的时间在电脑室作图外,我还利用其他的时间继续练习作图,回到宿舍里,休息一段时间,又继续作图。没办法啦,苯鸟不先飞就会饿死,两个星期的实训,再加上中途机房进行维修,时间是很短暂的,不加紧练习,完成作业都没希望了。这两天的不断操作,

电子线路cad课程设计报告

电子线路cad课程设计报告

————————————————————————————————作者:————————————————————————————————日期:

电子线路CAD 课程设计 一.实训目的: 1.熟悉原理图编辑器的功能与使用方法;掌握原理图元件及元件库的使用,元件的放置与编辑、电路原理图的设计以及报表、原理图输出等技巧与方法。 2.熟悉印制电路板的设计流程,掌握元件封装库的使用和元件封装的放置方法。 3.掌握PCB 绘图工具的操作使用方法和PCB 设计规则。 4.掌握布局和布线等印制电路板的设计知识。 5.掌握PCB 报表的生成和PCB 图打印输出方法。 6.掌握印刷电路板的设计流程。 二.实训内容 本次设计选择单片机控制系统,主要是熟练运用DXP 作出最小单片机系统的电路图,以下通过介绍最小系统的各部分电路的电路图及原理,通过在DXP 上绘制原理图,检查并修改错误,最后生成完整PCB 板。 三.设计原理和思路 1.最小系统的结构 单片机即单片微控制器,是在一块芯片中集成了CPU (中央处理器)、RAM (数据存储器)、ROM (程序存储器)、定时器/计数器和多种功能的I/O(输入和输出)接口等一台计算机所需要的基本功能部件,从而可以完成复杂的运算、逻辑控制、通信等功能。 单片机最小系统电路主要集合了串口电路、USB 接口电路、蜂鸣器与继电器电路、AD&DA 转换电路、数码管电路、复位电路、晶振电路和4*4矩阵键盘等电路。如下介绍几种简单的电路设计。 下图是本次设计的的几个有关电路图总体框图: Max232 串口电路 (MAX232) 蜂鸣器 (Bell) 4*4矩阵键盘 待扩展数码管电路 AD&DA 转换 单 片

cad实训总结2篇

cad实训总结2篇 下学期第十六周,在杨志勤老师的指导下,我们班进行了为期一周的CAD制图集中实训,主要是针对轴类、箱体类和叉架类等几种常见零件的绘制,通过实训,进一步掌握CAD 的应用,增强动手cao作能力。 时间过得真快,到今天截止,一周的CAD制图实训即将结束,现在回想起刚进机房的那懵懂,自己都觉得好笑。经过一个学期的学习,面对综合量大点的图形,竟然不知从何下手。上课是一步一步,一个一个命令的学,课后的练习也没有涉及到前后的知识,知识的连贯性不大,当我们进行实际运用时,发现之前学的有点陌生。 实训的第一天,老师首先给我们将了这周实训的课程安排,说明了本周实训的主要内容,实训目的以及意义所在,然后交代了一些细节方面的问题,强调应当注意的一些地方,以及考试成绩打分等。听完老师的讲解后,我并没有马上去画图,而是用两节课认真的去看任务指导书和设计指导书,很仔细的看了作图要求,以及提示的作图步骤,以便于能够合理的完成本周的实训工作,我怀着积极的心态去面对这次难得的实训机会。实训时间安排得有点紧,尤其是周三,从中午12:00直到下午17:00,看到这样的时间安排后,竟然没有想溜的冲动。接着我就仔细每副图的cao作细节,有些有提示,也有些没有的。我想结合我所学的,还有老师和

同学的帮助,这周的实训肯定会有很大的收获的。 在接下来的几天里,我一直认认真真去绘制每一个图,思考每一个细节,作图步骤,哪怕是一个很小的问题,也都会很仔细,在作图的过程中的确遇到了不少的难题,但都在老师和同学的帮助下,一个一个的被我击破,自己难免会感到有点惊喜,从而增强了对CAD的兴趣。 学习最怕的就是缺少兴趣,有了兴趣和好奇心,做什么事都不会感到累。于是我在网上找了点关于CAD的资料。CAD 的发明者是美国麻省理工学院的史凯屈佩特教授,依1955年林肯实验室的SAGE系统所开发出的全世界第一支光笔为基础,提出了所谓“交谈式图学”的研究计划。这个计划就是将一阴极射线管接到一台电脑上,再利用一手持的光笔来输入资料,使电脑透过在光笔上的感应物来感应出屏幕上的位置,并获取其座标值以将之存于内存内。这个阴极射线管就算是电脑显示屏幕,那支光笔现在可能是更先进的鼠标、数字化仪或触笔。那时候的电脑是很庞大且简陋的,不过,无论如何,这个计划开启了CAD的实际起步。事实上,此计划也还包含类似像CAD这样的CAD软件,只是其在功能上的应用非常简单罢了。当交谈式图学的观念被提出且发表后,在美国,像通用汽车公司、波音航空公司等大公司就开始自行开发自用的交谈式图学系统。因为在当时,只有这样的公司才付得起开发所需的昂贵电脑设备费用和人力到了20

电子线路CAD实验报告1

电子线路CAD实验报告 实验序号:1 实验名称:Altium Designer 基本操作实验日期:15.3.6 专业班级:13电信姓名:陈学颖成绩:__________ 一、实验目的: 了解AD 软件绘图环境,各个功能模块的作用,各个功能模块的作用,设置原理图 图纸环境的方法及元器件放置方法,灵活掌握相关工具和快捷方式的使用。 二、实验内容: 1,熟悉软件的设计环境参数:常规参数、外观参数、透明效果、备份选项、项目面板 设置。 2,学习使用键盘和菜单实现图纸的放大或缩小。 3,创建一个新的PCB 项目,项目名为姓名.PrjPCB。 4,打开一个例子文件,观察统一的设计环境,进行标签的分类。 5,在上述工程中创建新文件,命名为实验1.sch.设置图纸大小为A4,水平放置,工作区颜色为233 号色,边框颜色为63 号色。 6,栅格设置:捕捉栅格为5mil,可视栅格为8mil。 7,字体设置:设置系统字体Tahoma、字号为8,带下划线。 8,标题栏设置:用特殊字符串设置制图者为Motorala、标题为“我的设计”,字体为华文彩云,颜色为221 号色。 9,新建原理图文件,命名为“模板.schdoc”,设计其标题栏,包括班级、姓名、学号。三.实验操作 1.在最上方菜单中选择文件—新建—PCB工程,然后新建一个PCB项目,然后将其保存为陈学颖.PrjPCB。 2.然后在最上方菜单中选择文件—新建—原理图,然后将其命名为实验1.sch。然后在原理图工具区单击鼠标右键,在选项中选择文档选项,将其设置为图纸大小为A4,水平放置,工作区颜色为233 号色,边框颜色为63 号色。同时将捕捉栅格设置为5mil,可视栅格设置为8mil。然后选择“更改系统字体”中设置系统字体为Tahoma、字号为8,带下划线。

CAD实验报告

班级:电气F1504 姓名:于玥学号:201526030528 实验1 原理图设计环境画原理图实验 一实验目的 1 熟练PROTEL99se的原理图编辑环境 2 掌握常用管理器,菜单的使用,电气规则检查 3 掌握元器件等的调用,属性含义。 二实验内容 (1)放置元件,就是到元件库中找元件,然后用元件管理器的Place按扭将元件放到原理图上。 (2)连接导线。使用画线工具连接导线。 (3)放置电源、地线和网络标记。放置电源和地线标记前要显示电源地线工具箱。 (4)自动元件编号。使用菜单Tool/Annotate对元件自动编号。 (5)编辑元件属性。双击元件,在弹出的属性窗]输入元件的属性,注意一定 要输入元件封装。 (6)电气规则检查。使用Tool/ERC菜单,对画好的原理图进行电气规则检查,检查完毕后,若没有错误,就可以进行下一步。 (7)原理图元件列表。使用EdivVExpot to Spread 菜单,按照向导提示进行 操作。、 (8)建立网络表。使用菜单Design/Netlist 三、实验结果

班级:电气F1504 姓名:于玥学号: 201526030528 实验2 原理图练习实验 一实验目的 1 掌握层次电路图设计方法 2 了解层次电路原理图各图之间的关系 3 熟悉顶层图,子图的生成方法 二实验内容 1 在顶层原理图中生成图纸符号。 2 生成图纸符号对应的分原理图zhengliu和wenya。 3 补画分原理图。

二实验内容 1 在顶层原理图中生成图纸符号。 2 生成图纸符号对应的分原理图zhengliu和wenya。 3 补画分原理图。 三实验结果

电子CAD课程设计实验报告

一.课程设计的目的 课程设计以电子线路CAD软件设计原理为基础,重点在硬件设计领域中实用的电子线路设计软件的应用。掌握电子线路设计中使用CAD的方法。为后继课程和设计打下基础。 通过电路设计,掌握硬件设计中原理图设计、功能仿真、器件布局、在线仿真、PCB设计等硬件设计的重要环节。 二.课程设计题目描述和要求 2.1振荡电路的模拟和仿真。 由555定时器构成多谐波振荡电路,用模拟的示波器观察输出的信号,熟悉555定时器构成多谐波振荡电路的基本原理,熟悉proteus的基本操作,和各元器件的查找。 2.2 8051单片机 用80c51单片机完成以下功能:(1)构成流水灯的控制电路,使八个流水灯轮流点亮。(2)构成音乐播放的简单电路。(3)构成串口通信电路,完成信息在单片机和串口之间的传播。(4)构成8255键盘显示模块。(5)构成A/D和D/A 转换模块。 首先用模拟器件构成基本电路,然后在单片机中加入驱动程序,运行仿真,最后对电路进行调整校正,完成相关功能。 熟悉单片机实现相关功能的基本原理,对单片机有个框架的了解。学习用proteus仿真单片机电路中不同模块间的组合,扩展单片机电路的功能。 三.课程设计报告内容。 3.1设计原理 3.1.1振荡电路仿真的原理 振荡电路原理: 555管脚功能介绍: 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电

三维CAD实训总结

三维CAD软件实训总结报告 班级 姓名 学号 年月日

*实训时间: *实训地点: *指导老师: *实训目的:理解三维CAD技术的相关概念和三维CAD的基础知识,熟练UG软件的基本操作,掌握UG软件常用建模模块的使用方法。能够更直观、更全面地反映设计意图,为将来从事计算机辅助机械设计和制造工作打下基础。 *实训内容: 一、UG软件基础知识和基本操作 二、UG草图绘制及简单零件设计 三、UG典型工业造型零件设计 四、UG典型机械零件设计 五、UG典型装配图及爆炸图设计 六、UG工程图 七、实训收获及感想

一、UG软件基础知识和基本操作 1.UG最早应用于美国麦道公司。它是从二维绘图、数控加工编程和曲面造型等功能发展起来的软件。20世纪90年代初,美国通用公司选中UG作为全公司的CAD/CAM/CIM主导系统,这进一步推动了UG的发展。 UG软件是一个集成化的CAD/CAE/CAM系统软件,他为工程设计人员提供了非常强大的应用软件,这些工具可以对产品进行设计(包括零件设计和装配设计),工程分析(包括有限元分析和运动机构分析),绘制工程图和编制数控加工程序等。随着版本的不断更新和功能的不断扩充,促使UG向专业化合智能化方向发展,例如,各种模具设计模块(冷冲模、注塑模等)、钣金加工模块、管道布局、船舶设计及车辆工具包等。 2.UG具有以下优势 .(1)UG可以为机械设计、模具设计及电气设计单位提供一套完整的设计、分析和制造方案。 (2)UG是一个完全的参数化软件,为零部件的系列化建模、装配和分析提供强大的基础支持。 (3)UG可以管理CAD数据及整个产品开发周期中的所有相关数据,实现逆向工程和并向工程等先进设计方法。 (4)UG可以完成包括自由曲面在内的复杂模型的创建,同时在图形显示方面运用了区域化管理方式,节约系统资源。 (5)UG具有强大的装配功能,并在装配模块中运用了引用集的设计思想。为节省计算机资源提出了行之有效的解决方案,可以极大地提高设计效率。 3.UG的主要功能特性如下 (1)建模的灵活性 与其他的三维设计软件的建模功能相比,UG具有很大的灵活性,具体体现在以下3个方面 ①符合建模功能 ②方便的几何特征和特征操作 ③光顺倒圆 (2)协同化和高级装配建模技术

CAD课程设计报告

XXX课程标准 盐城工学院 《电子线路CAD》课程设计报告 设计题号:第五题 姓名:邓钟鸣 学院:信息工程学院 专业:电科 班级:141 页脚内容1

学号:33 日期2016年12月26日——2017年1月13日指导教师:曹瑞、朱明 页脚内容2

目录 一、摘要 (1) 二、设计的任务与要求 (1) 三、软件介绍 (1) 四、画图的步骤 (3) 五、设计总结 (20) 六、参考文献 (21) 附录: 附录1.原理图 附录2.PCB图 页脚内容1

页脚内容2

一、摘要 电子线路CAD是从实用角度出发,详细介绍了Altium Designer的实用功能,可以引导读者轻松入门,快速提高。全面介绍了Altium Designer的界面、基本组成及使用环境等,并详细讲解了电路原理图的绘制、元件设计、印制电路板图的基本知识、印制电路板图设计方法及操作步骤等,详细讲解了电路从电路原理图设计到印制电路板图输出的整个过程。 关键词:Altium Designer软件;电路原理图设计;电路板; 二、设计的任务与要求 1.锻炼学生将理论用于实际和动手的能力以及更熟练的使用Altium Designer软件 2.使学生学会绘制电路原理图、电路查错、仿真、PCB(Printed Circuit Board,印刷电路板)设计 3、掌握元件封装的方法 意义:通过这次Altium Designer期末考试以及报告的设计,提高思考能力和实践能力。同时通过本课题设计,巩固已学的理论知识,建立逻辑数字电路的理论和实践的结合,了解各单元电路之间的关系及相互影响,从而能正确设计、计算各个单元电路。而且更加掌握的Altium Designer该软件的使用,对原理图的绘制和PCB的布局以及电路的仿真都有了进一步的理解。 三、软件介绍 页脚内容1

cad实验报告

CAD实验报告 实验编号: 项目一 AutoCAD 基础(2学时) 一、实验目的 了解AuotCAD的发展历史,主要内容及特性 二、实训内容 1、AuotCAD的发展与应用 2、AUTOCAD的主要功能 3、AutoCAD2004工作界面 4、坐标与坐标系简介 三、使用仪器设备: 电脑 四、实验教材:《室内设计CAD》 五、实验步骤: 1、AUTOCAD的主要功能的介绍 2、AutoCAD2004工作界面的介绍 3、坐标与坐标系简介 六、实验结果:良好 七、实验分析: 本节课学习了计算机绘图的相关知识、AutoCAD 的主要功能、AutoCAD2004 的工作界面和如何设置绘图环境,对AutoCAD 有了初步的认识。关于AutoCAD的工作界面一个比较重要的部分,他包括了标题栏、菜单、工具栏等。菜单中的命令、子命令是绘图的基础。 实验编号:项目二 常用绘图命令(5学时) 一、实验目的 1、掌握直线、多线、圆、矩形、多边形的绘制方法 2、掌握利用简单命令绘图的方法 二、实训内容 1、直线、射线、构造线、多线的绘制方法及多线样式的设置 2、矩形、点的绘制 3、圆、圆弧、椭圆、椭圆弧的绘制方法

三、使用仪器设备: 电脑 四、实验教材:《室内设计CAD》 五、实验步骤: 1、绘制直线、射线、构造线 2、绘制圆弧、圆、椭圆与椭圆弧 3、绘制多边形和点 4、绘制矩形 六、实验结果:良好 七、实验分析: 本节课我们学习了如何绘制点、直线、射线、构造线和圆、圆弧、椭圆、椭圆弧等,对绘图命令有了一定的了解,其中绘图命令的使用是本节课的重点。在以后的练习中我们会充分利用这些命令绘制二维图形。 实验编号:项目三 绘图辅助工具(3学时) 一、实验目的 1、掌握AutoCAD中对象几何特征点捕捉的功能和极轴追踪; 2、如栅格、正交、自动捕捉、对象捕捉、对象追踪等。 二、实验内容 第一节、捕捉、栅格第二节、正交和极轴模式第三节、自动追踪 三、使用仪器设备: 电脑 四、实验教材:《室内设计CAD》 五、实验步骤: 1、辅助绘图的基本设置, 2、设置捕捉和栅格, 3、极轴追踪的设置与运用 六、实验结果:良好 七、实验分析: 本节课我们学习了栅格(Grid)捕捉(Snap)正交(Ortho) 对象追踪(Object Snap Tracking)

cad实验报告心得体会

篇一:《CAD实习心得体会》 篇一cad实训总结 cad实训总结 时间过得好快,一学期转眼就要离去了,在这最后一周的时间里,我们实训cad,觉得自己很多东西都还不会,老师布置的任务虽说是很庞大的,但是只要自己用心做了应该能完成老师布置的任务的,但愿自己和在座的同学能快乐的度过这一周,完成学习任务,给老师一个满意的答卷。 尽管孙老师每天过来监督我们的时间很少,可能孙老师很忙很忙,但我们同学也是相当的自觉,每天很早很早就来到了机房,绘制图形,有些图形真的是很复杂很复杂的,好几次自己都想放弃不画了,想想真是太不应该了,可能自己的想象力不够丰富吧,有些图形自己真的想不出来的,也许是自己练得少的缘故吧,我想孙老师也不会说是哪个同学绘错了或是不会绘而重重的惩罚一番吧,当然不会了,孙老师才不会惩罚我们呢? 对吧! 说归说,一周的时间每天坐在电脑面前,眼睛直视电脑屏幕,绘制着图形,有时候自己的头真的就要大了,真的想放弃绘图,但老师布置的任务还没有完成

呢,想到这自己又不得不绘起图来,每天早早的我们就来到了机房,绘制图形了,在机房里每个同学都显得是那么的严肃,都在那认认真真的绘制图形,有时候机房里是那么的安静,同学们绘图是那么的认真,有时候机房里又显得是那么的喧闹,也许是在讨论复杂图形的绘法吧。 时间过得好快呀,不知不觉今天就已经礼拜三了,自己的图形还没有会呢,想到这自己似乎有点胆怯呀,早早来到机房,赶紧就绘图什么也不想,就一心绘图,突然发现坐在自己旁边的同学画的不错嘛,请教一下嘛,于是我们两个就相互学习,相互讨论,不一会儿一副复杂的图形就被我们两个给绘制出来了,真的真的很高兴,其实一副复杂的图形的绘制并不是很难,只要自己静下心来,认真的分析分析,就一定会有所收获的,相信自己会做的更好的,加油! cad的用途的确很广泛呀!说到它的使用性,相信许多同学都有同感。我们从书上得知,cad可以绘制机械、建筑、航空、石油等多方面的二维平面图形和三维立体图形等等,所以说它的使用性是非常之广泛的。我们在绘制图形的时候要注意线条的宽度,字体的美观。现在用cad就完全没有这方面的问题,粗细线条全用“特性”来规范,一目了然。尺寸也相当准确,在命令提示行里输入多少就是多少,也不用拿着丁字尺在图纸上比画来比画去,到头来还是达不到十分准确。画线线连结、圆弧连接的时候cad在尺寸方面的优势就更加明显,比如画圆与直线相切的时候,手工绘图只能凭感觉觉得差不多就画上去,每一条画得都不一样,怎么看都不对称。用cad画,打开对象捕捉就能把切点给抓进来,又快又准!尺寸标注更是快捷,两个点一拉,左键一点就完成一个标注,不用画箭头,

相关主题
文本预览
相关文档 最新文档