当前位置:文档之家› EDA 三楼电梯控制器

EDA 三楼电梯控制器

EDA 三楼电梯控制器
EDA 三楼电梯控制器

前言

世界部分地区人口高度密集,人和土地资源短缺的矛盾日益激化。这就注定了必须合理的利用土地去解决人与土地的矛盾。而兴建高层建筑是其中有效措施之一。因此能使人们快速便捷地到达目的楼层的电梯就应运而生了。

随着电子技术日薪月异的发展,集成电路从20 世纪60 年代的小规模到中规模,再到大规模集成电路,伴随着它的高速发展,EDA 技术、CPLD 技术也得到充分发展。电梯的使用越来越普遍,已从原来只在商业大厦、宾馆使用,过度到在办公室、居民楼等场所使用,并且对电梯功能的要求也不断提高,相应地其控制方式也在不停地发生变化。对于电梯的控制,随着技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。电梯的微机化控制主要有以下几种形式:PLC 控制,单板机控制,单微机控制,多微机控制,人工智能控制。随着EDA 技术的快速发展,CPLD/FPGA[已广泛应用于电子设计与控制的各个方面。本设计就是使用一片CPLD/FPGA 来实现对电梯的控制的。

三层电梯广泛应用在大型的货运之中。其使用便捷,货运周期短,效率高成本低,对货运事业具有相当的经济价值。

电梯作为高层建筑物的重要交通工具与人们的工作日益紧密FPGA/CPLD 作为一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一。

电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL 语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性,源程序经A1tera 公司的MAX+plus II 软件仿真,目标器件选用CPLD 器件。通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。

1 EDA 技术介绍

1.1 EDA技术简介

EDA技术是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现极大的提高了电子电路设计的效率和可操作性,减轻了设计者的劳动强度。

EDA 技术的基本特征和基本工具总的来说,现代EDA 技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。它主要采用并行工程和“自顶向下” 的设计方法,使开发者从一开始就要考虑到产品生成周期的诸多方面,包括质量、成本、开发时间及用户的需求等等,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错、并用VHDL、Verilog-HDL、ABEL 等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,然后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

利用EDA 技术进行电子系统的设计,具有以下几个特点:用软件的方式设计硬件;用软件方式设计的系统到硬件系统的转换是由相关的开发软件自动完成;设计过程中可用相关软件进行各种仿真;系统可现场编程,在线升级;整个系统可集成在一个芯片上,具有体积小、功耗低及可靠性高的特点。因此,EDA 技术是现代电子设计的发展趋势。电子设计自动化(EDA)是一种实现电子系统或电子产品自动化的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。

1.2 VHDL硬件描述语言

VHDL的含义是VHSIC Hardware Description Language。VHSIC是20世纪80年代在美国国防部的资助下始创的,并最终导致了VHDL语言出现。VHDL 是IEEE制定为规范的一种硬件描述语言,规范版本为IEEE 1076。IEEE后来有补充制定了IEEE 1164,引入了许多逻辑值。

VHDL是一种硬件描述语言,他可以对电子电路和系统的行为进行描述。基于这种描述,结合相关的软件工具,可以得到所期望的世纪电路与系统。

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与语法是十分类似于一般计算机高级语言的。使用VHDL语言描述的电路,可以进行综合与仿真。与其他硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设

计领域最佳的硬件描述语言。强大的行为描述能力是避开具体器件结构,从逻辑行为上描述和设计大规模电子系统的重要保障。VHD具有可操作性强,灵活性高的特点。

2 Quartus II软件介绍

2.1软件概述

Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。该软件有如下几个显著的特点:

1、Quartus II 的优点该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的EDA工具软件。该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

2、Quartus II 对器件的支持Quartus II 支持Altera 公司的MAX 3000A 系列、MAX 7000 系列、MAX 9000 系列、APEX II 系列、FLEX 6000 系列、支持MAX7000/MAX3000等乘积项器件。支持IP 核,包含了LPM/MegaFunction 宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。此外,Quartus II 通过和DSP Builder 工具与Matlab/Simulink 相结合,可以方便地实现各种DSP 应用系统;支持Altera 的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性

的开发平台。

3、Quartus II对第三方EDA工具的支持对第三方EDA 工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。Altera 的Quartus II 可编程逻辑软件属于第四代PLD 开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet 的协作设计Quartus平台与Cadence、ExemplarLogic、MentorGraphics、Synopsys 和Synplicity 等EDA 供应商的开发工具相兼容。改进了软件的LogicLock 模块设计功能,增添了FastFit 编译选项,推进了网络编辑性能,而且提升了调试能力。

2.2界面基本操作

1启动Quartus II

双击桌面上的Quartus II快捷方式或选择程序菜单中的Quartus II选项启动Quartus II以后,出现以下界面,如下图2-1所示:

图2-1 Quartus II启动界面

2打开界面后选择新建文件建立VHDL file将出现以下编写代码窗口如下图2-2所示:

图2-2 代码编写窗口

3输入完VHDL代码后点击Start Compilation即可进行编译。如果程序语法有错就会进行错误提示,如果代码正确则编译提示正确,则编译通过代码无语法错误。

编译通过之后可以进行波形仿真,打开波形编辑器,则会出现进行仿真的窗

口如下图2-3所示:

图2-3波形仿真窗口

4 VHDL代码不仅可以进行仿真,更重要的是可以进行物理实现。在开发箱上可以进行电路的物理实现。首先点击Device选择芯片型号,然后点击Pins进

行引脚锁定,最后点击Programmer,将代码下载到芯片上进行物理电路实现。

其下载界面如下图2-4所示:

图2-4下载窗口

5 在实验箱上,就可以演示代码的功能,通过控制给它设定的一些参数,得到所期望的设计要求。

3程序设计

3.1 电梯控制系统的设计要求

(1)设计完成三层楼的电梯载客服务控制系统。电梯运行时,能够显示电梯运行状态;

(2)电梯每秒升(降)一层楼,当电梯到达选择的楼层时,经过1s 电梯门打开,开门指示灯亮,开门4s 后,电梯关闭,指示灯灭,电梯继续运行,直至执行完最后一个请求信号停在当前层;

(3)能记忆电梯外的所有请求信号,按照电梯运行规则次序响应,每个请求信号保留至执行后消除;

(4)电梯处于上升状态时,响应上升请求的楼层大于电梯的所在层;电梯处于下降状态时,响应有下降请求的楼层小于电梯的所在层;执行完上升状态时,若更高层有下楼请求,则直接开到下楼请求的最高层接客,然后进入下降模式。

(5)先执行完所有的上楼请求再执行下楼请求,抑或先执行完所有的下楼请求再执行上楼请求

(6)当有特殊情况时可对电梯进行复位,使其停在一楼。

3.2 电梯运行规则

电梯运行规则: 当电梯处在上升模式时, 只响应比电梯所在位置高的上楼请求, 由下向上逐个执行,直到最后一个上楼请求执行完毕。如果高层有下楼请求,直接升到有下楼请求的最高楼层,然后进入下降模式。 当电梯在一楼时,不管是梯内梯外,电梯都只可能接收到上升的请求信号。此时,电梯就进入预上升状态,准备作上升运行。如果电梯没有接收到请求信号,电 梯则在一楼待机。当电梯在二楼时,电梯则可能出现三种情况:电梯并没有接收到梯内梯外的任 何请求信号时,电梯停在二楼待机;电梯接收到上升请求信号,进入预上升状态; 电梯接收到下降请求信号,进入预下降状态。 当电梯在三楼时,不管是梯内梯外,电梯都只可能接收到下降的请求信号。此 时,电梯就进入预下降状态,准备作下降运行。如果电梯没有接收到请求信号,电 梯则停在二楼待机。 当电梯处在一楼二楼和三楼时的运行情况如下图3-1所示

处于一楼

预上升状态

待机

上升信号

无信号

图3-1 电梯处于三个楼层时的运行状态图

3.3 电梯整体设计

电梯控制器采用状态机来实现,思路比较清晰。可以将电梯等待的每秒钟以及 开门、关门、向下运动、向上运动、停止和停在一楼都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个统一的 1 秒为周期的时钟来触发状态机。根据电梯的实际工作情况,可以把状态机设置 10 个状态,分别是“电梯停留在1层”“开门”“关门”“开门等待第 1 秒”“开门等待第 2秒”“开门等待第 3 秒”“开门等待第 4 秒”“上 升”“下降”和“停止状态”。各个状态之间的转换条件可由上面的设计要求所决定。

电梯初始状态为一层,处在开门状态,开门指示灯亮。一层电梯入口处设有上楼请求开关,二层电梯入口设有上、下楼请求开关,三 层电梯入口处设有下楼请求开关,电梯内部设有乘客到达楼层的停站请求开关及其显示,电梯内部还设有乘客关门请求。设置电梯所处位置指示(用数码管表示)及电梯上升或下降指示。电梯到达有停站请求的楼层后,电梯门打开,开门指示灯亮。当按下梯内关门 按钮时立刻响应关门操作,否则,开门 4 秒后,电梯门关闭,开门指示灯灭,电梯 继续运行,直至执行完最后一个请求信号后停在当前层。电梯控制系统能记忆电梯内外的请求信号,并按照电梯运行规则工作,每个请求信号执行完毕后随即清除。

处于二楼

预上升状态

待机

预下降状态

上升信号

无信号

下降信号

处于三楼

预下降状态

待机

下降信号

无信号

3.4 端口设计说明

由功能要求得到本程序设计的端必须包括:

按键时钟信号(anclk)

电梯时钟信号(clk)

电梯复位到一层的复位信号(reset)

一楼电梯外的上升请求信号(up1)

二楼电梯外的上升请求信号(up2)

二楼电梯外的下降请求信号(dn2)

三楼电梯外的下降请求信号(dn3)

电梯内部要到达楼层的请求信号(yilou、erlou、sanlou)

上述所有信号是1时,表示有请求,时表示无请求。

电梯外部上升请求指示灯(uplight)

电梯外部下降请求指示灯(dnlight)

电梯内部乘客到达楼层的停站请求指示灯(splight)

电梯到站开门提示灯(door)

上述显示信号,当为1时为有请求,为0时表示无请求。

楼层显示(weizhi)

电梯上升下降状态显示(updown)

当电梯上升时,updown为0,下降时为1。

3.5 具体程序设计说明

整段代码由三大部分组成:库声明,实体,结构体。

3.5.1 库声明部分

调用VHDL 库,通过library 语句本程序应用了VHDL 库中的”通用ieee 库” 和”标准std 库”

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

3.5.2 实体部分

实体部分列出了代码所用到的所用输入输出端口。

entity dianti is

port(anclk:in std_logic; ---按键时钟信号ticlk:in std_logic; ---电梯时钟信号

reset:in std_logic; ---复位

up1:in std_logic; ---1楼外部上升请求

up2:in std_logic; ---2楼外部上升请求

dn2:in std_logic; ---2楼外部下降请求

dn3:in std_logic; ---3楼外部下降请求

uplight:buffer std_logic_vector(3 downto 1); ---外部上升请求指示灯

dnlight:buffer std_logic_vector(3 downto 1); ---外部下降请求指示灯

yilou,erlou,sanlou:in std_logic; ----内部停站请求

splight:buffer std_logic_vector(3 downto 1); ---内部停站请求指示灯

weizhi:buffer integer range 1 to 3; ---电梯位置指示

door:out std_logic; ---门状态指示

updown:buffer std_logic); ---上升下降状态指示end dianti;

3.5.3 结构体部分

使用状态机来实现电梯功能。在结构体中,设计了俩个进程互相配合,一个是状态机进程作为主要进程,另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信号灯进程产生的信号灯信号为依据的,而信号灯进程中信号灯的熄灭又是由状态机进程中传出的上升清除信号(clearup)和下降清除信号(cleardn)来控制。

1 状态机进程:

在状态机进程中,在电梯的上升状态中,通过对信号灯的判断,决定下一个状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定下一个状态是继续下降还是停止;在电梯停止状态中,判断是最复杂的,通过对信号的判断,决定电梯是上升、下降还是停止。

在信号灯控制进程中,由于使用了专门的频率较高的按键时钟,所以使得按键的灵敏度增大,但是时钟频率不能过高,否则容易使按键过于灵敏。按键后产生的点亮的信号灯(逻辑值为‘1’)用于作为状态机进程中的判断条件,而clearup 和cleardn 信号为逻辑‘l’使得相应的信号灯熄灭。

状态机进程:该部分是整个设计的核心,根据电梯的工作模式,将电梯的工作分为10个状态,分别为停一层lift1、开门状态dooropen、关门状态doorclose、开门等待1 秒wait1、开门等待2秒wait2、开门等待3 秒wait3、开门等待 4 秒wait4、上升up、下降down 和停止stop。在每个状态下,判断输入信号的请求,转入下一状态且产生对应得输出信号。

(1)停一层状态:电梯开门同时转入下一状态wait1。

case aa is

when lift1=>

door<='1';

weizhi<=1;bb:=1;

aa<=wait1;

(2)开门等待 1 秒、2秒、3秒和4秒:等待1秒时进入下一状态等待2秒,在进入等待3秒等待4秒,下一状态进入关门状态。

when wait1=>

aa<=wait2;

when wait2=>

clearup<='0';

cleardn<='0';

aa<=wait3;

when wait3=>

aa<=wait4;

when wait4=>

aa<=doorclose;

(3)关门状态:此时电梯开门。该状态要分为 2 种情况进行分析即电梯处于上升情况或下降情况。当处于上升模式,同时电梯位于三层,如果此时电梯的内部与外部都没有请求,电梯停在三楼,电梯转为下降模式,并进入下一状态doorclose;否则电梯转为下降模式,并进入下一状态down。当电梯处于二楼时,若无请求在在二楼等待,为关门状态,若有上层的请求信号,则进入上升状态,若有下降请求信号则进入下降状态。当电梯处于一楼时,若无请求信号则电梯在一楼等待,若有上层的请求信号则电梯进入上升状态。

when doorclose=>

door<='0';

if updown='0' then

if weizhi=3 then

if splight="000" and uplight="000" and dnlight="000" then

updown<='1';

aa<=doorclose;

else updown<='1';aa<=down;

end if;

elsif weizhi=2 then

if splight="000" and uplight="000" and dnlight="000" then

updown<='0';

aa<=doorclose;

elsif splight(3)='1' or (splight(3)='0' and dnlight(3)='1') then updown<='0';

aa<=up;

else updown<='1';aa<=down;

end if;

elsif weizhi=1 then

if splight="000" and uplight="000" and dnlight="000" then updown<='0';

aa<=doorclose;

else updown<='0';aa<=up;

end if;

end if;

elsif updown='1' then

if weizhi=1 then

if splight="000" and uplight="000" and dnlight="000" then updown<='0';

aa<=doorclose;

else updown<='0'; aa<=up;

end if;

elsif weizhi=2 then

if splight="000" and uplight="000" and dnlight="000" then updown<='1';

aa<=doorclose;

elsif splight(1)='1' or (splight(1)='0' and uplight(1)='1') then updown<='1';

aa<=down;

else updown<='0';aa<=up;

end if;

elsif weizhi=3 then

if splight="000" and uplight="000" and dnlight="000" then updown<='1';

aa<=doorclose;

else updown<='1';aa<=down;

end if;

end if;

end if;

(4)当电梯处于上升模式,电梯楼层自加一,当有该楼层的请求时,则进入停止状态。

when up=>

weizhi<=weizhi+1;

bb:=bb+1;

if bb<3 and (splight(bb)='1' or uplight(bb)='1') then

aa<=stop;

elsif bb=3 and (splight(bb)='1' or dnlight (bb)='1') then

aa<=stop;

else aa<=doorclose;

end if;

(5)当电梯处于下降状态时,电梯楼层自减一,当有该楼层的请求信号时,电梯进入停止状态。

when down=>

weizhi<=weizhi-1;

bb:=bb-1;

if bb>1 and (splight(bb)='1' or dnlight(bb)='1')

then aa<=stop;

elsif bb=1 and (splight(bb)='1' or uplight(bb)='1')

then aa<=stop;

else aa<=doorclose;

end if;

(6)当电梯处于停止状态时,进入开门状态。

when stop=>

aa<=dooropen;

(7)当电梯处于开门状态时,进入下一状态等待一秒,若电梯处于三楼时,当有请求时只能进入下降状态,当电梯处于一楼时,当有请求信号时只能进入上升状态

when dooropen=>

door<='1';

if updown='0' then

if weizhi<=2 and (splight(weizhi)='1' or uplight(weizhi)='1') then

clearup<='1';

else clearup<='1'; cleardn<='1';

end if;

elsif updown='1' then

if weizhi>=2 and (splight(weizhi)='1' or dnlight(weizhi)='1') then

cleardn<='1';

else clearup<='1';cleardn<='1';

end if;

end if;

aa<=wait1;

如果清除下降的信号有效,则电梯内部相应楼层停止信号清除,同时电梯外部相应楼层下降信号清除;否则当有下降请求时相应的电梯外部下降指示灯亮。如果停止信号有效,则相应的电梯内部停站请求灯亮。

2 信号灯控制进程

当电梯被复位后既不上升也不下降

即splight<="000" ;uplight<="000";dnlight<="000";

当清除上升信号时即所有上升请求信号被清除掉不再被响应

即splight(weizhi)<='0';uplight(weizhi)<='0';

如果一楼外面有上升请求信号时则uplight(1)<='1';如果二楼外面有上升请求信号时则uplight(2)<='1';如果清除下降请求信号即所有向下请求的信号被清除不在被响应,splight(weizhi)<='0';dnlight(weizhi)<='0';当二楼外面有下降请求信号时,dnlight(2)<='1';

当三楼外面有下降请求信号时,dnlight(3)<='1';当电梯内部有到达楼层请求时yilou='1' then splight(1)<='1';erlou='1' then splight(2)<='1';sanlou='1' then splight(3)<='1'

总代码如下:

ctrlight:process(reset,anclk) -----指示灯进程

begin

if reset='1' then

splight<="000";uplight<="000";dnlight<="000";

else

if anclk'event and anclk='1' then

if clearup='1' then

splight(weizhi)<='0';uplight(weizhi)<='0';

else

if up1='1' then uplight(1)<='1';

elsif up2='1' then uplight(2)<='1';

end if;

end if;

if cleardn='1' then

splight(weizhi)<='0';dnlight(weizhi)<='0';

else

if dn2='1' then dnlight(2)<='1';

elsif dn3='1' then dnlight(3)<='1';

end if;

end if;

if yilou='1' then splight(1)<='1';

elsif erlou='1' then splight(2)<='1';

elsif sanlou='1' then splight(3)<='1';

end if;

end if;

end if;

end process ctrlight;

4系统仿真

电梯运行情况分析,选取几个典型运行情况进行分析。

1 电梯运行情况一:二层和三层都有下楼请求并都要求停在一层,初始时电梯停在一层,上升模式,并保持开门状态。外部没有请求时,电梯等待4秒关门。由于二层和三层都是下楼请求,而电梯此时是上升模式,则电梯到二层时不停,直接运行到三层,开门,由于没有关门请求,电梯等待4秒自动关门,并转为下降模式。电梯运行到二层,开门,由于没有关门请求,电梯等待4秒自动关门。由于电梯内部要求停在一层,则电梯直接运行到一层,开门,由于没有关门请求,电梯等待4秒自动关门,并自动转为上升模式。仿真情况如下图4-1 所示。

图 4-1 电梯运行情况一

2电梯运行情况二:电梯一层有上楼请求并要求停在三层,二层同时有下楼请求并要求停在一层,初始时电梯停在一层,上升模式,并保持开门状态。外部没有请求时,电梯等待 4 秒关门。当一层外部有上楼请求时,电梯开门,由于没有关门请求,电梯等待 4 秒自动关门。虽然此时二层有下楼请求,但由于此时电梯处于上升模式,所以电梯在二层不停。电梯内部要求在三层停止,电梯直接运行到三层停止,开门,由于没有关门请求,电梯等待 4 秒自动关门,并自动转为下降模式。二层外部有下楼请求,则电梯运行到二层停止,开门,由于没有关门请求,电梯等待 4 秒自动关门。电梯内部要求在一层停,则电梯停止在一层,开门,由于没有关门请求,电梯等待 4 秒自动关门,并自动转为上升模式。仿真情况如下图4-2 所示。

图 4-2 电梯运行情况二

3 电梯运行情况三:一层有上楼请求并要求停在三层,二层有上楼请求并要求停在三层,同时二层有下楼请求并要求停在一层:初始时电梯停在一层,上升模式,并保持开门状态。外部没有请求时,电梯等待

4 秒关门。当一层外部有上楼请求时,电梯开门,由于没有关门请求,电梯等待 4 秒自动关门。电梯内部要求停在三层同时二层外部有上楼请求,则电梯停在二层,开门,由于没有关门请求,电梯等待 4 秒自动关门。电梯运行到三层,执行内部请求,开门,由于没有关门请求,电梯等待 4 秒自动关门,并自动转为下降模式。二层有下楼请求,电梯运行到二层停止,开门,由于没有关门请求,电梯等待 4 秒自动关门。电梯内部要求停在一层,开门,由于没有关门请求,电梯等待 4 秒自动关门,并转为上升模式。仿真情况如下图4-3 所示。

图 4-3 电梯运行情况三

5 结论

5.1 设计功能实现情况

对程序进行调试,经过计算及仿真分析,结果表明本电梯控制程序实现了以下功能:

(1)电梯到达楼层时,电梯门会打开并发出指示信号;

(2)能指示电梯的运行状况;

(3)电梯的门关闭时有延时设置;

(4)当电梯因故障要复位时可以将电梯复位到一楼;

(5)当电梯外有上升或下降请求信号时有对应的指示灯显示;

(6)能显示电梯的位置;

5.2设计存的问题

仿真中参数的设置问题。参数的设置对于整个设计可谓至关重要。在程序仿真之初,这个问题就曾一度被忽视。造成在调试的过程中对信号随意设置,导致仿真有延时或错误,还以为是程序出错。例如,在程序仿真之初,clk 信号的周期设置为100ms,由仿真的效果看到只能实现最基本的楼层显示,其他功能都失效。后来通过对clk 信号的周期进行调试,当其减少到25ms 时,电梯的上述功能都能基本实现;信号传输延时的问题。通过调试仿真观察,可以看到本系统的信号延时在10ms 左右。但当改变仿真时的一些参数时(如仿真时间、时钟信号的周期、分频信号的周期以及器件的选用等),可以发现延时又会发生比较细微的变化。在日常应用中,由于选用的硬件以及使用环境的问题,延时问题可能会进一步显著。如何解决信号的延时,也是本设计未来需解决的主要问题之一。另外Endtime 的值需要设置的长一点:20us 左右,这样就可以观察到完整的仿真结果。

5.3课设心得总结

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,第一次课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

参考文献

[1].Voknei A.Pedroni.《VHDL数字电路设计教程》.电子工业出版社,2008.5

[2].潘松,黄继业.《EDA技术实用教程》(第二版).科学出版社,2005.2

[3].焦素敏.《EDA应用技术》.清华大学出版社,2002.4

[4] 甘历.VHDL 应用与开发实际.北京:科学出版社,2003

[5] 梁勇,王留奎.EDA 技术教程.北京:人民邮电出版社,2010.

[6] 柳春锋.电子设计自动化(EDA).北京:北京理工大学出版社,2005.

[7] 黄仁欣.EDA 技术实用教程.北京:清华大学出版社,2006.

[8] 朱正伟.EDA 技术及应用.北京:清华大学出版社,2005.

基于plc的三层电梯控制系统设计

摘要 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用PLC组成的控制系统可以很好地解决上述问题。本论文通过讨论电梯控制系统的组成,阐述可编程控制器(用三菱PLC编程的程序控制方式,提出了三层电梯的程、组成,列出了具体的主要硬件电路、电梯的控制梯形图及指令表。并给出了系统组成框图和程序流程图,在分析、处理随机信号逻辑关系的基础上,提出了计了一套完整的电梯控制系统方案。触点多,故障率高、可靠性差、安装调试周期长、维修工作量大、接线复杂等缺点。使电梯运行更加安全、方便、舒适。在PLC课程设计中,我组设计了一个三层电梯控制系统,并且将西门子公司S7-200系列可编程控制器与其结合并应用起来,在学完《电气控制与PLC应用》课程后,我们在设计过程中较为得心应手,不至于从头开始。整个过程包括了方案讨论,程序设计,程序修改,上机调试等,在程序设计方面花了比较多的时间,主要考虑到电梯分别停在一层、二层和三层时在其他楼层呼叫等各种情况。每当遇到困难时,我组都积极与老师联系讨论,深入分析研究问题,在整个过程中,我与我的组员都相互配合,相互学习。 关键字:PLC;电梯;升降;梯形图;系统组成框图

In this paper The elevator is an indispensable means of transport for the high-rise building, used for vertical transporting passengers and cargo, the traditional elevator control system mainly adopts relay - contactor to control, its shortcomings is the number of contact, such as high failure rate and poor reliability, maintenance workload is big, and composed of PLC control system is a good way to solve the above problems. Through discussing the composition of the elevator control system, this paper expounds the programmable controller (with mitsubishi PLC programming way of process control, puts forward the three layers of elevator ride, and lists the specific of the main hardware circuit, elevator control ladder diagram and instruction list. And the system composition block diagram and program flow chart is given, based on the analysis, processing, on the basis of random signal logic relation, put forward the plan for a complete set of the elevator control system scheme. Contact, high failure rate, poor reliability, installation and debugging cycle is long, maintenance workload, such as complex wiring faults. Make the elevator running more safe, convenient and comfortable. In the PLC course design, I have come up with a three layers of elevator group control system, and the Siemens S7-200 series programmable controller and its application and combining, after completing the curriculum, electrical control and PLC application we in the design process is relatively with ease, not from the beginning. The whole process including the solution discussion, program design, program changes, computer debugging, etc., spent more time on program design, main consideration to the elevator stop on the first floor, respectively the second and third floors in other situations such as floor call. Whenever encounter difficulties, I actively

三层电梯控制设计

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC 可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 1.1 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量的活动元件和接线电子元件,它的接线大大减少,与此同时,系统的维修简单,维修时间短。PLC采用了一系列可靠性设计的方法进行设计,例如,冗余设计,断电保护,故障诊断和信息保护及恢复等,提高了MTBF,降低了MTTR,使可靠性提高。PLC是为工业生产过程控制而专门设计的控制装置,它具有比通用计算机控制更简单的编程语言,而为工业恶劣操作环境设计的硬件使可靠性大大提高。在PLC的硬件方面,采用了一系列提高可靠性的措施。例如,采用可靠性的元件,采用先进的工艺制造流水线制造,对于干扰的屏蔽、隔离和滤波等,对电源的断

plc三层电梯控制设计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止; (3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关

LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止; (5)当电梯停于2F,而2F、3F按钮均有人呼叫时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止; (6)当电梯停于3F,而1F、2F按钮均有人呼叫时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止; (7)在电梯上升途中,任何反方向的下降按钮呼叫均无效; (8)在电梯下降途中,任何反方向的上升按钮呼叫均无效; (9)每层楼之间的到达时间应在10s完成,否则电梯停机; (10)电梯的起始位置和程序的启动、停止运行自行设计。 1.3电梯的结构 1-减速箱2-曳引轮 3-曳引机底座4-导向轮 5-限速器6-机座 7-导轨支架8-曳引钢绳 9-开关碰铁10-紧急开关 11-导靴12-轿架 13-轿门14-安全钳 15-导轨16-绳头组合 17-对重18-补偿链

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

基于单片机的三层电梯控制系统设计毕业设计

西南科技大学 网络教育 毕业设计(论文) 题目 英文题目 学生签名: 指导教师签名: 年月

人均陆地面积计算不足12 地的1/61,加拿大的1/49,巴西的1/8 展,城市化进程的加快以及人口的增加,使得我国对土地的需求量越来越大,这使本来就很稀缺的土地变得更为重要。因此,自去年以来我国开始实行最严格的土地管理制度,为此国务院出台了关于深化改革严格土地管理的决定。各地区、各部门认真贯彻党中央、国务院部署,全面清理各类开发区,切实落实完善土地资源管理体制的政策,土地市场治理整顿取得了积极进展,有力地促进了宏观调控政策的落实。但是,成效还是初步的、阶段性的。由于国家政策和政府行政上的缺失,耕地大面积被侵占,建设用地无度征用,乱占滥开等问题仍然存在。加之土地资源管理的法律建设不够完善和执行力度弱,土地集约化利用程度不高,土地市场化配置还欠成熟,使得我国土地资源在管理上还存在着很多问题。因此,土地资源究竟应该如何管理是十分有现实意义的课题,它对如何有效的配置我国土地资源,进行宏观调控,使得经济平稳健康发展,提高人民生活水平都具有不可轻视的作用。

Abstract The land of our country from the overall resources only to Russia and Canada, ranking third in the world. But the per capita land area of less than 12 acres, less than the world average per capita area 1/3, only Australia land per capita 1/61, Canada 1/49, Brazil 1/8, American 1/5. With the rapid development of China's economy, city changes a course accelerate and the increase of population, making China's growing demand for land is large, which already scarce land become more and more important. Therefore, since last year, China began to implement the most strict land management system, the State Council issued on deepening the reform of strict land management decision. All regions, departments conscientiously implement the Party Central Committee, the State Council deployment, a comprehensive clean-up all types of development zones, the practical implementation of land resources management system policy, the land market rectification has achieved positive progress, vigorously promote the implementation of the policy of macro-control. However, results still preliminary, stage. Because of the national policy and the lack of government administration, a large area of land was occupied, construction land excessive requisition, the problem of excessive and other issues still exist. In addition to the legal construction of land resource management is not perfect and weak enforcement, land intensive use degree is not high, the land market allocation is not mature, so that land resources management in our country still exist many problems. Therefore, how to land resources management is of great practical significance, it is about how to effective allocation of land resources in China, the macro-control, the stable and healthy economic development, improving people's living standard has important role.酽锕极額閉镇桧猪訣锥。

基于PLC的三层电梯控制系统设计 开题报告

工学院毕业设计(开题报告) 题目:基于PLC的三层电梯控制设计 专业:机械械设计制造及其自动化 班级:06级(2)班 姓名:石德龙 学号:2006664220 指导教师:陈娟 日期: 2010-6-7

安徽科技学院本科生毕业论文(设计)选题申请表 基本情况课题名称 教师姓名职称 课题来源 A.科研 B.生产 C.教学 D.其它课题类型 A.论文 B. 设计 选 题 理 由 签字: 年月日 指 导 教 师 意 见签字: 年月日 审 题 意 见 教学院(部)签章: 年月日安徽科技学院本科生毕业论文(设计)开题报告书

题目基于三层电梯的PLC控制 学生姓名石德龙指导教师陈娟职称副教授 一、本课题的研究背景及意义 (1)题目背景:随着城市建设的不断发展,楼群建筑不断增多,电梯在当今社会的生活中有着广泛的应用。电梯作为楼群建筑中垂直运行的交通工具已与人们的日常生活密不可分。实际上电梯是根据外部呼叫信号以及自身控制规律等运行的,而呼叫是随机的,电梯实际上是一个人机交互式的控制系统,单纯用顺序控制或逻辑控制是不能满足控制要求的,因此,大部分电梯控制系统都采用随机逻辑方式控制。传统的电梯运行逻辑控制系统采用继电器逻辑控制线路。这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术上发展来看,这种系统将逐渐被淘汰。如何解决电梯的可靠性、维护方便等问题已成为全社会关注的焦点和大众的迫切心声。 (2)题目研究的意义:目前,由可编程序控制器和微机组成的电梯运行逻辑控制系统,正以很快的速度发展着。采用PLC控制的电梯可靠性高、维护方便、开发周期短,这种电梯运行更加可靠,并具有很大的灵活性,可以完成更为复杂的控制任务,已成为电梯控制的发展方向,其许多功能是传统的继电器控制系统无法实现。 可编程控制(Programmable Controller)系统是专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,通过数字式或模拟式的输入输出控制各种类型的机械设备或生产过程。通过可编程控制器可以实现由继电器实现的逻辑控制功能,而且最主要的是可编程控制器的“可编程”功能,使得当改变电梯的控制功能时,只要更改程序即可,而不需要像继电器控制系统那样改变硬件和接线。 二、本课题国内外研究现状 传统的电梯控制系统主要采用继电器—接触器进行控制,其缺点是触点比较多,故障高,可靠性差、体积大、维修工作量大等缺点,正逐步被淘汰。世界上各主要PLC生产厂家几乎都有运动控制功能,它的运动控制功能广泛地应用于各种机械,如金切削机床、金属成型机械、电梯等;随着计算机控制的发展,近年来国外工厂

PLC课程设计 三层电梯

课程设计报告 题目三层电梯控制 课程名称PLC工业控制及应用院部名称机电工程学院 专业电气工程及其自动化班级10电气1班 学生姓名管志成 学号1004103027 课程设计地点C314 课程设计学时20 指导教师 金陵科技学院教务处制

可编程控制器作为一种工业控制微型计算机,它以其编程方便、操作简单尤其是它的高可控性等优点,在工业生产过程中得到了广泛的应用。它应用大规模集成电路,微型机技术和通讯技术的发展成果,逐步形成了具有多种优点和微型,中型,大型,超大型等各种规格的系列产品,应用于从继电器控制系统到监控计算机之间的许多控制领域。PLC总的发展趋势是:高功能、高速度、高集成度、大容量、小体积、低成本、通信组网能力强。 本课程设计基于西门子(SIEMENS)S7-200 PLC对三层电梯的控制进行了模拟,形成了电梯升降的系统PLC在电梯升降的过程中,主要体现在逻辑开关的功能。由于PLC具有逻辑运算、记数、定时以及输出输入输出的功能,在电梯升降的过程中各种逻辑开关控制与PLC很好的结合,对电梯实现了控制。 关键词:SIEMENS S7-200 PLC;电梯;升降

一、绪论 1.1 相关背景知识 (3) 1.2 课程设计的目的 (3) 1.3 课程设计的要求 (3) 1.4 课程设计的任务 (4) 二、电梯控制系统硬件设计 2.1 模拟装置介绍 (5) 2.2 选择机型 (6) 2.3 I/O分配表 (6) 2.4 电气接线图与主电路图 (6) 2.5电梯控制系统的安全保护 2.5.1 短路保护 (7) 2.5.2 过载保护 (7) 2.5.3 失电压保护 (7) 2.5.4 超程保护 (7) 三、电梯控制系统软件设计 3.1软件设计流程图及描述 (8) 3.2 源代码设计 3.2.1 梯形图LAD (9) 3.2.2 语句表STL (14) 3.3 系统调试 (16) 四、课程设计体会 (16) 五、参考文献 (16)

三层电梯控制系统的设计

三层电梯控制系统的 实验设计 指导老师:朱静 学生:马小娟 班级:电科092 学号:095203403

三层电梯控制系统的设计 第一节设计要求 要求用FPGA设计实现一个3层电梯的控制系统。系统的要求如下: (1)电梯运行规则:当电梯处在上升模式时,只响应比电梯所在位置高的上楼请求,由下向上逐个执行,直到最后一个上楼请求执行完毕。如果高层有下楼请求,直接升到有下楼请求的最高楼层,然后进入下降模式。电梯处在下降模式时,工作方式与上升模式相反。设电梯共有3层,每秒上升或下降一层。 (2)电梯初始状态为一层,处在开门状态,开门指示灯亮。 (3)每层电梯入口处均设有上下请求开关,电梯内部设有乘客到达楼层的停站请求开关及其显示。 (4)设置电梯所处位置的指示及电梯上升或下降的指示。 (5)电梯到达有停站请求的楼层后,电梯门打开,开门指示灯亮。开门4妙后,电梯门关闭,开门指示灯灭,电梯继续运行,直至执行完最后一个请求信号后停在当前层。 (6)电梯控制系统能记忆电梯内外的请求信号,并按照电梯运行规则工作,每个请求信号执行完毕后清除。 第二节三层电梯控制系统的功能模块及流程图 电梯控制器的功能模块如图2.1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。 图2.1 电梯控制器原理图

机械毕业设计1269三层货运电梯曳引机及传动系统设计论文

图书分类号: 密级: 毕业设计(论文) 三层货运电梯曳引机及传动系统设计 TO DESIGN TRACTOR AND TRANSMISSION SYSTEM OF THREE FREIGHT ELEVATOR 学生姓名 学院名称机电工程学院 专业名称机械设计制造及其自动化 指导教师 27日

摘要 电梯的曳引机主要是由曳引绳、电动机、减速器、曳引轮、制动器和联轴器组成。根据电梯运行的速度和载荷来选用电动机和制动器。曳引绳的设计,首先选用一种曳引绳的绕法,再由它的受力情况来选择曳引绳的数量和截面积。减速器是设计的主体部分,要根据电动机的转速、电梯的运行速度、曳引轮的直径等参数设计减速器。电梯是利用曳引钢丝绳与曳引轮缘上绳槽的摩擦力传递动力,所以必须设计表面摩擦系数大且耐磨的曳引轮。选用刚性联轴器,保证传递的动力,但要求两轴的对中度较高。 关键词货运电梯;曳引机;组成;设计

Abstract Elevator traction machine mainly by the traction rope, motor, reducer, traction wheels, brakes and coupling components. The operating speed of the elevator and load the selection of motor and brake. The design of the traction rope, first choose a traction rope around the law, then the forces it to choose the number of the traction rope and cross-sectional area. Reducer is the main part of the design, according to motor speed, lift speed, traction wheel gear design parameters such as diameter. Lift is the use of traction on the rim of the traction rope friction groove transmit power, it must design and wear-resistant surface friction coefficient of the traction wheel. Rigid coupling used to ensure the transfer of power, but requires moderately high on both axes. Keywords Freight elevator Traction machine composition design

毕业设计三层电梯PLC控制系统设计

摘要 随着科学技术和社会经济的发展,高层建筑已成为现代城市的标志。电梯作为垂直运输工具,承载着大量的人流和物流的输送,在建筑物中起着至关重要的作用。采用可编程控制器对电梯进行控制,通过合理的选择和设计,能够有效地提高电梯的控制水平,使电梯的控制达到比较理想的控制效果。 本文设计一个三层电梯控制系统,基于西门子S7-200PLC实现。在介绍电梯结构的基础上,重点分析了三层电梯的控制要求以及电梯控制系统设计中如何用PLC实现控制系统,编制梯形图,并完成程序的调试,利用QSPLC-III型实验装置的电梯模块对三层电梯控制系统进行仿真实验。 关键词:电梯西门子可编程控制器调试仿真实验

Abstract With the development of science and technology and social economy development,high-rise buildings have become the hallmark of modern cities.As a vertical transportation equipment,a lot of people bear the transportantion and logistics,its role a very important part in building . Using Programmable Controller totrol the elevater .can improve the reliability and enable the elevator control to achieve an ideal effect, through the reasonable selection and design.So the effect of control is more ideal. This paper use Siemens S7-200 PLC to design a 3-storied elevator control system.Based on the introduction of the elevater's basic structure,expatiates the control request of elevator and analyzes how to use the PLC to program controlling process,edit ladder diagram and debug the program,And use the elvator module on QSPLC-III experimental equipment to do simulation experiment. Keywords:Elevator Siemens PLC Debug simulation experiment

三层电梯控制程序设计

三层电梯控制程序设计 1.三层电梯控制分析 三层电梯控制输入、输出均为开关量,按控制逻辑TA + =) (表达式, Y? QA Y 分析QA进入条件、TA退出条件,可直接逐条进行逻辑控制设计。 到三层,由行程开关3LS停止; 这一条逻辑控制中的输出为上升,其进入条件为3AX呼叫,且电梯停在一层或二层,用1LS、2LS表示停的位置,因此,进入条件可以表 示为: LS? AX + LS ? X + = X 11 12 4 ( ) 3 2 1(X ) 退出条件为3LS动作,因此逻辑输出方程为: LS AX LS LS X Y? Y + X = + ? + = + ) 12 [( X 4 13 ]1 3 11 [( ]1 Y 1 1X 2 3) (2)当电梯停于三层或二层时,如果按1AS按钮呼叫,则电梯下降到一层,由行程开关1LS停止; 此条逻辑控制中输出为下降,其进入条件为: LS AS LS? X X + = + ? 13 ) 2(X 12 1 ( ) 3 1 退出条件为1LS动作,逻辑输出方程为: LS AS LS LS X Y? Y + X = + ? + = + 2X 13 X [( ) 1 11 ]2 1 12 [( Y 2 3 1) ]2 (3)当电梯停于一层时,如果按2AS按钮呼叫,则电梯上升到二层,由行程开关2LS停止; 此条逻辑控制中输出为上升,其进入条件为: LS? = ? AS 11 2 2 1X X 退出条件为2LS动作,逻辑输出方程为: Y? + AS Y LS ? ? = + X = ? LS 11 ( 2 )1 12 2 )1 Y 1X 1( 2 X (4)当电梯停于三层时,如果按2AX按钮呼叫,则电梯下降到二层,由行程开关2LS停止; 此条逻辑控制中输出为下降,其进入条件为: LS? ? AX = 3X 3 X 13 2 退出条件为2LS动作,逻辑输出方程为:

PLC三层电梯控制设计讲课稿

P L C三层电梯控制设 计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训内容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵内容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层 U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止; (3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止;

三层电梯控制设计

三层电梯控制设计 Prepared on 24 November 2020

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量

三层电梯的毕业设计

题目: 三层电梯控制技术 系部: 机电工程系 专业: 电气自动化 班级: 10电气大一班 姓名: 杨浩 学号: 201004010101 指导老师: 祖国建

摘要 随着社会和科学技术的发展,近年来,我国的电梯生产技术得到了迅速发展,电梯在高层宾馆,商场,多层厂房和仓库等领域的应用越来越广泛。一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统(“早期安装的电梯多位继电器控制系统)、PLC控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被可编程控制器取代。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设复杂,一般维修人员难以掌握其维修技术等缺陷。而PLC控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 关键词:PLC 电梯可编程控制器微机控制系统

摘要 (1) 前言 (3) 一、电梯的基本结构 (4) 二、可编程控制器PLC的简介 (6) 2.1 PLC的产生及发展 (6) 2.2 PLC的基本结构 (6) 2.3 PLC的定义 (7) 2.4 PLC的工作原理 (8) 三、PLC的选择及软件设计 (9) 3.1 PLC的选择 (9) 四、数据库设定 (10) 4.1 电梯PLC.I/O配线表 (10) 4.2 梯形图设计 (11) 五、可编程控制器的安装和维护 (16) 5.1 可编程控制器的安装 (16) 5.2 接线电源 (17) 5.3 接地 (17) 5.4 直流24V接线端 (17) 5.5 输入接线 (18) 5.6 输出接线 (18) 总结 (19) 参考文献 (20)

plc三层电梯控制设计知识讲解

p l c三层电梯控制设 计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止;

(3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止; (5)当电梯停于2F,而2F、3F按钮均有人呼叫时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止; (6)当电梯停于3F,而 1F、2F按钮均有人呼叫时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止; (7)在电梯上升途中,任何反方向的下降按钮呼叫均无效; (8)在电梯下降途中,任何反方向的上升按钮呼叫均无效; (9)每层楼之间的到达时间应在10s完成,否则电梯停机; (10)电梯的起始位 置和程序的启动、停止运 行自行设计。 1.3电梯的结构 1-减速箱 2-曳引轮 3-曳引机底座 4-导向轮 5-限速器 6-机座 7-导轨支架 8-曳引钢绳 9-开关碰铁 10-紧急开关 11-导靴 12-轿架

三层电梯设计

题目:三层楼电梯自动控制 (英文): Automatic three-floor elevator

摘要 本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。 目录 第一章三层楼电梯自动控制 (3) 一.电梯设计要求 (3) 二.电梯设计分析 (4) 1.分析被控对象 (4) 2.分配PLC的输入/输出端子 (4) 3.统计输入、输出点数并选择PLC型号 (5) 4.输入/输出端子接线图 (6) 5.运动形式分析 (6) 6.助记符 (11) 三.硬件配置设计 (15) 1. 电梯控制构成 (16) 2. 主电路 (16) 四.型号规格 (16)

三层电梯控制电路设计

三层电梯控制电路设计 一. 设计要求 1. 每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 2. 设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。 3. 电梯每秒升(降)一层楼。 4. 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 6. 电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则相反。 7. 电梯初始状态为一层开门状态。 二. 设计目的 电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性, 通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。 三. 控制器的设计方案. 控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。 图1. 电梯控制器原理图

四. 三层电梯控制器的结构体设计 首先说明一下状态。状态机设置了lO个状态,分别是电梯停留在l层(stoponl)、开门(dooropen)、关门(doorclose)、开门等待第1秒(doorwaitl)、开门等 待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、 上升(up)、下降(down)和停止(stop)。在实体说明定义完端口之后,在结构体architecture和begin之间需要有如下的定义语句,来定义状态机。 在结构体中,设计了俩个进程互相配合,一个是状态机进程作为主要进程, 另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信 号灯进程产生的信号灯信号为依据的,而信号灯进程中信号灯的熄灭又是由状态 机进程中传出的clearup和cleardn信号来控制。 在状态机进程中,在电梯的上升状态中,通过对信号灯的判断,决定下一个 状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定 下一个状态是继续下降还是停止;在电梯停止状态中,判断是最复杂的,通过对 信号的判断,决定电梯是上升、下降还是停止。 本设计需要完成的任务是编写VHDL代码来模拟现实中的三层电梯工作。在 点阵上显示电梯所在的楼层,当其它楼层有上或下的请求信号时,表示该楼层上 或下的绿色或黄色指示灯亮,电梯开始上或下运行,当到达该楼层时,表示该楼 层上或下的绿色或黄色指示灯灭,表示到达该楼层的红色指示灯亮,点阵显示楼 层数,红色指示灯灭。 五. vhdl源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------- entity elevator is port( clk : in std_logic; --Clock Signal k1,k2u,k2d,k3 : in std_logic; --Push button d1,d2u,d2d,d3 : out std_logic; --Led of every floor door1,door2,door3 : buffer std_logic; --door led r0,r1,r2,r3,r4,r5,r6,r7 : out std_logic; --7 segment driver sa,sb,sc : out std_logic); --Display Select end elevator; -------------------------------------------------------------------- architecture behave of elevator is signal state1,state3 : std_logic;

相关主题
文本预览
相关文档 最新文档