当前位置:文档之家› 基于改进LU分解的CMMB标准中LDPC编码器设计

基于改进LU分解的CMMB标准中LDPC编码器设计

基于改进LU分解的CMMB标准中LDPC编码器设计
基于改进LU分解的CMMB标准中LDPC编码器设计

编码器和译码器的应用

编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 2、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 1、什么是编码: 教材说:用文字、符号、或者数字表示特定对象的过程称为编码 具体说:编码的逻辑功能是把输入的每个高、低电平信号编成对应的二进制代码 2、编码器74LS147的特点及引脚排列图: 74LS147是优先编码器,当输入端有两个或两个以上为低电平,它将对优先级别相对较高的优先编码。其引脚排列图: 3、什么是译码:译码是编码的逆过程,把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出,译码器广泛用于代码转换、终端的数字显示、数据分配、组合控制信号等。 译码器按照功能的不同,一般分为三类:二进制译码器、二—十进制译码器、显示译码器。 (1)变量译码器(用以表示输入变量的状态) 74LS138的特点及其引脚排列图:反码输出。 ABC是地址输入端,Y0—Y7是输出端,G1、G2A’、G2B’为 使能端,只有当G1=G2A’=G2B’=1时,译码器才工作。 (2)码制变换译码器:用于同一个数据的不同代码之间的相互转换,代表是4—10线译码器 译码器74LS42的特点及其引脚排列图: 译码器74LS42的功能是将8421BCD码译成10个对象 其原理与74LS138类同,只不过它有四个输入端, 十个输出端,4位输入代码0000—1111十六种状态组合

其中有1010—1111六个没有与其对应的输出端, 这六组代码叫做伪码,十个输出端均为无效状态。 (3)数码显示与七段译码驱动器:将数字、文字、符号的代码译成数字、文字、符号的电路 a、七段发光二极管数码显示管的特点:(共阴极) b、七段译码驱动器: 4、在本数字电路实验装置上已完成了译码器74LS48和数码管之间的连接图。 三四五脚接高电频,数码管的单独端接低电频。

3 译码器和编码器的仿真实验报告

实验三译码器与编码器的设计与仿真 一、实验内容 1.参照芯片74LS138的电路结构,用VHDL语言设计3-8译码器; 2.参照芯片74LS148的电路结构,用VHDL语言设计8-3优先编码器。二、电路功能介绍 1.74148:8-3优先编码器(8 to 3 Priority Encoder) 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。 2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。如下图所示。 一、编码器 1.VHDL实现 library IEEE; use IEEE.std_logic_1164.all; entity pencoder is port ( i7,i6,i5,i4,i3,i2,i1,i0:in STD_LOGIC; a2,a1,a0,idle:out STD_LOGIC); 解 码 信 号 输 出 端低 电 平 有 效 代 码 输入 端 使能输入端

end pencoder; architecture pencoder_arch of pencoder is signal h:STD_LOGIC_VECTOR(7 downto 0); begin h(7)<=i7; h(6)<=i6 and not i7; h(5)<=i5 and not i6 and not i7; h(4)<=i4 and not i5 and not i6 and not i7; h(3)<=i3 and not i4 and not i5 and not i6 and not i7; h(2)<=i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(1)<=i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(0)<=i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; idle<=not i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; a0<=h(1) or h(3) or h(5) or h(7); a1<=h(2) or h(3) or h(6) or h(7); a2<=h(4) or h(5) or h(6) or h(7); 2.波形图:

译码器、编码器及其应用实验报告

实验四 译码器、编码器及其应用 实验人员: 班号: 学号: 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出Y 0????~Y 7????接数字实验箱LED 管,地址Y 2Y 1Y 0输入接实验箱开关,使能端接固定电平(Y YY 或GND )。电路图如Figure 1所示: Figure 1 E Y 1YY 2Y ?????????? YY 2Y ??????????≠100时,任意拨动开关,观察LED 显示状态,记录观察结果。 E Y 1YY 2Y ?????????? YY 2Y ??????????=100时,按二进制顺序拨动开关,观察LED 显示状态,并与功能表对照,记录观察结果。 用Multisim 进行仿真,电路如Figure 2所示。将结果与上面实验结果对照。

Figure 2 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: Y =Y ???Y ???+Y ???Y ???+YYY 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: Y =Y ???Y ???+Y ???Y ???+YYY =Y ???Y ???Y ???+Y ???Y ???Y +A Y ???Y ???+YYY =Y 0+Y 1+Y 4+Y 7=Y 0????Y 1????Y 4????Y 7????????????????????? 按Figure 3所示的电路连接。并用Multisim 进行仿真,将结果对比。 Figure 3

实验4 组合逻辑电路设计(编码器和译码器)

实验四 组合逻辑电路设计(编码器和译码器) 一、【实验目的】 1、 验证编码器、译码器的逻辑功能。 2、 熟悉常用编码器、译码器的逻辑功能。 二、【实验原理】 1.编码器 编码器是组合电路的一部分,就是实现编码操作的电路,编码实际上是和译码相反的过程。按照被编码信号的不同特点和要求,编码也分成三类: (1)二进制编码器:如用门电路构成的4-2线,8-3线编码器等。 (2)二—十进制编码器:将十进制0~9编程BCD 码,如10线十进制-4线BCD 码编码器74LS147等。 (3)优先编码器:如8-3线优先编码器74LS148等。 2.译码器 译码器是组合电路的一部分。所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: (1)二进制译码器:如中规模2-4线译码器74LS139,3-8线译码器74LS138等。 (2)二—十进制译码器:实现各种代码之间的转换,如BCD 码——十进制译码器74LS145等。 (3)显示译码器:用来驱动各种数字显示器,如共阴数码管译码器驱动74LS48,共阳数码管译码驱动74LS47等。 三、【实验内容与步骤】 1.编码器实验 将10—4线(十进制—BCD 码)编码器74LS147集成片插入IC 空插座中,管脚排列如下图4-1所示。按下图4-2接线,其中输入端1~9通过开关接高低电平(开关开为“1”、开关关为“0”),输出Q D 、Q C 、Q B 、Q A 接LED 发光二极管。接通电源,按表输入各逻辑电平,观察输出结果并填入表4-1中。 45678QC QB Ucc NC QD 3 2 1 GND QA 图4-1 74LS147集成芯片管脚分布图

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

实验四 编码器和译码器-试验报告

实验报告 ----- 李瑞辉 一、实验目的 1. 学会用逻辑图和VHDL 语言设计3-8 译码器; 2. 学会用逻辑图和VHDL 语言设计8-3 编码器; 二、实验原理 1.74148:8-3优先编码器(8 to 3 Priority Encoder) (1)用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。 (2)逻辑表达式 ①使能输出端O E的逻辑方程为: EO =I0· I1· I2· I3· I4· I5· 67· EI ②扩展片优先编码输出端G S的逻辑方程为: GS = (I0+I1+I2+I3+I4+I5+I6+I7)· EI ③由74148真值表可列输出逻辑方程为: A2 =(I4+I5+I6+I7)EI A1 = (I2I4I5+I3I4I5+I6+7)· EI A0 = (I1I2I4I6+I3I4I6+I5I6+I7)· EI (3)真值表 INPUTS OUTPUTS EN0N 1N 2N 3N 4N 5N 6N 7N A2 A1 A0 EO GS 1×××××××× 1 1 1 1 1

0××××××× 00 0 00 1 0×××××× 0 10 0 10 1 0××××× 0 1 10 1 00 1 0×××× 0 1 1 10 1 10 1 0××× 0 1 1 1 1 1 0 00 1 0×× 0 1 1 1 1 1 1 0 10 1 0× 0 1 1 1 1 1 1 1 1 00 1 00 1 1 1 1 1 1 1 1 1 10 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 2. 74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 (1)用途:与编码器相反。用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。 (2)逻辑表达式 (m i 是最小项) (3)真值表 INPUT OUTPUT

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

译码器与编码器的设计与仿真

译码器与编码器的设计与仿真 1.实验目的 a.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计3-8译码器。 b.参照芯片74LS148的电路结构,用逻辑图和VHDL语言设计8-3优先编码器。 2.实验内容的详细说明 2.1 74148:8-3优先编码器(8 to 3 Priority Encoder) 2.1.1 设计思想 先定义八个输入四个输出的实体,然后定义结构体,再定义一个进程利用利用if的嵌套来体现使能端与输入信号的优先级,再利用if和else if的结构来选择不同输入时输出信号的不同。 2.1.2 实验原理 1)优先编码器逻辑图 优先编码器逻辑图 2)优先编码器真值表

2.1.3 VHDL程序(详见附录1) 2.1.4 仿真结果 优先编码器画图-功能仿真波形图 优先编码器VHDL-功能仿真波形图2.2 74138:3-8译码器(3 to 8 Demultiplexer)2.2.1 设计思想

先定义六个输入八个输出的实体,再定义结构体和一个用来先存储输出信号组合新的信号,用with..when来将不同输入组合时将不同的输出信号赋给存储信号,当使能端输入组合为100时,将存储信号赋给输出,否则将“1111111”赋给输出信号组合。 2.2.2 实验原理 1)74138(3-8译码器)逻辑图 74138逻辑图 2)74138逻辑功能真值表 2.2.3 VHDL程序(详见附录2) 2.2.4 仿真结果

74138画图-逻辑功能仿真波形图 74138VHDL-逻辑功能仿真波形图 3.实验总结: 通过本次实验,我对优先编码器和译码器的逻辑功能有进一步的了解,了解到译码器与编码器的功能正好相反,编码器是将各种输入信号转换成一组二进制代码,而译码器则是用一组二进制代码来产生各种独立的输出信号。 4.附录(VHDL程序) 4.1 附录1 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY V74148 IS PORT( EIN : IN STD_LOGIC; D:IN STD_LOGIC_VECTOR(0 TO 7); A:OUT STD_LOGIC_VECTOR(0 TO 2) ); END V74148; ARCHITECTURE V74184_A OF V74148 IS

编码器和译码器的设计

目录 1设计目的与要求 (1) 1.1 设计的目的 (1) 1.2 设计要求 (1) 2 VHDL的简单介绍 (2) 2.1 VHDL的简介 (2) 2.2 VHDL的特点 (2) 2.3 VHDL的优势 (3) 2.4 VHDL的设计步骤 (4) 3 EDA的简单介绍 (5) 3.1 EDA的简介 (5) 3.2 EDA设计方法与技巧 (5) 4 设计过程 (7) 4.1编码器的原理 (7) 4.2译码器的原理 (7) 4.3课程设计中各部分的设计 (7) 5 仿真 (10) 5.1八-三优先编码器仿真及分析 (10) 5.2三-八译码器仿真及分析 (11) 5.3二-四译码器仿真及分析 (14) 心得体会 (13) 参考文献 (16) 附录 (17)

摘要 随着社会的发展,科学技术也在不断的进步。计算机从先前的采用半导体技术实现的计算器到现在广泛应用的采用高集成度芯片实现的多功能计算器。计算机电路是计算机的重要组成部分,了解计算机电路的知识是促进计算机的发展的先决条件。而编码器和译码器是计算机电路中的基本器件,对它们的了解可以为以后的进一步深化研究打下一个良好的基础。本设计主要介绍的是一个基于超高速硬件描述语言VHDL对计算机电路中编码器和译码器进行编程实现。 关键字:计算机编码器译码器

编码器和译码器的设计 1 设计目的与要求 随着社会的进一步发展,我们的生活各个地方都需要计算机的参与,有了计算机,我们的生活有了很大的便利,很多事情都不需要我们人为的参与了,只需要通过计算机就可以实现自动控制。由此,计算机对我们的社会对我们每个人都是很重要的。所以我们要了解计算机得组成,内部各种硬件,只有了解了计算机基本器件已经相应的软件,才能促进社会的发展。编码器和译码器的设计是计算机的一些很基础的知识,通过本次对于编码器和译码器的设计,可以让我知道究竟这种设计是如何实现的,这种设计对我们的生活有什么帮助,这种设计可以用到我们生活的哪些方面,对我们的各种生活有什么重大的意义。 1.1 设计的目的 本次设计的目的是通过简单的编码器和译码器的设计掌握基本的计算机的一些有关的知识,通过查资料已经自己的动手设计去掌握EDA技术的基本原理已经设计方法,并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过将理论知识,各种原理方法与实际结合起来,切实的亲手设计,才能掌握这些非常有用的知识。通过对编码器和译码器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。也能通过这种自主设计,增强自己的动手能力,将理论知识切实应用的能力,这对我们将来的发展是很有帮助的。 1.2 设计要求 根据计算机组成原理中组合逻辑电路设计的原理,利用VHDL设计计算机电路中编码器和译码器的各个模块,并使用EDA 工具对各模块进行仿真验证和分析。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例

实验二编码器和译码器的应用

实验二编码器和译码器的应用 一.实验目的: 1.学会正确使用中规模集成组合逻辑电路。掌握编码器、译码器、BCD七段 译码器、数码显示器的工作原理和使用方法。 2.掌握译码器及其应用, 学会测试其逻辑功能。 二.实验仪器及器件: 1. TPE—D6Ⅲ型数字电路实验箱 1台 2.数字万用表 1块 3.器件:74LS20 二4输入与非门 1片 74LS04 六反相器 1片 74LS147 10线—4线优先编码器 1片 74LS138 3线—8线译码器 1片 74LS139 双2线—4线译码器 1片 74LS47 七段显示译码器 1片 三.实验预习: 1.复习编码器、译码器、BCD七段译码器、数码显示器的工作原理。 2.熟悉编码器74LS147及译码器74LS138、74LS139各引脚功能和使用方法, 列出74LS138、74LS139的真值表,画出所要求的具体实验线路图。四.实验原理: 在数字系统中,常常需要将某一信息变换为特定的代码,有时又需要在一定的条件下将代码翻译出来作为控制信号,这分别由编码器和译码器来实现。 1.编码:用一定位数的二进制数来表示十进制数码、字母、符号等信息的过 程。编码器:实现编码功能的电路。 编码器功能:从m个输入中选中一个,编成一组n位二进制代码并行输出。 编码器特点:(1)多输入、多输出组合逻辑电路。 (2)在任何时候m个输入中只有一个输入端有效(高电平或 低电平)对应有一组二进制代码输出。 编码器分类:二进制、二─十进制、优先编码器。2.译码:是编码的反过程,是将给定的二进制代码翻译成编码时赋予的原意。 译码器:实现译码功能的电路。译码器特点:(1)多输入、多输出组合逻辑电路。 (2)输入是以n位二进制代码形式出现,输出是与之对应的 电位信息。

实验2 译码器及其应用

实验2 译码器及其应用 10数计计科2班 丁琴(41)林晶(39) 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n 个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。以3线-8线译码器74LS138为例进行分析,图5-6-1(a)、(b)分别为其 逻辑图及引脚排列,其中A2 、A1 、A0 为地址输入端,0Y~7Y为译码输出端,S1、2S、 S为使能端。其工作原理为: 3 Yi=S1 S2 S3 mi (1)当S2=S3=0,S1=data时 若m0=1,A2=A1=A0=0时则Y0 =S1= data 改变A2、A1、A0使得data出现在不同的输出端 (2)当S1=1, S2=0,S3=data时 若m0=1,则Y0=data; 改变A2A1A0使得data出现在不同的输出端 对照表5-6-1就可判断其功能是否正常。

(a) (b) 图5-6-1 3-8线译码器74LS138逻辑图及引脚排列 二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图5-6-2所示。若在S1输入端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图5-6-3所示,实现的逻辑函数是

编码器、译码器的功能测试及应用

学生实验报告 学院: 课程名称:数字电路实验与设计 专业班级: 姓名: 学号:

学生实验报告(一) 一、实验综述 1. 实验目的: (1)了解编码器、译码器和数码管的管脚排列和管脚功能。 (2)掌握编码器、译码器和数码管的性能和使用方法。 2. 实验所用仪器及元器件: (1)示波器、信号源、万用表、数字实验箱和电脑。 (2)集成电路TTL74LS147、TTL74LS148、TTL74LS47、TTL74LS04、电阻和电位器等。3. 实验原理: (1) 10- 4线优先编码器74HC147 74HC147外引线排列如图1所示,逻辑符号如图2所示。 图1 74HC147外引脚排列图图2 74HC147逻辑符号如图74HC147有9路输入信号,4位BCD码输出,因输出端带圈,所以输入输出均为低电平有效。他将0—9十个十进制数编成4位BCD码,可把输入端的9路输入信号和隐含的不变信号按优先级进行编码,且优先级别高的排斥级别低的。当输入端都无效时,隐含着对0路信号进行编码(输出采用反码输出)。74HC147的功能见表1。 表1 10- 4线优先编码器74HC147

(2) 8-3线优先编码器74LS148 74LS148是8-3线优先编码器逻辑符号如图3,外引线排列如图4所示。共有8个输入信号,且输入低电平有效。三位代码输出端(反码输出)。 图3 逻辑符号如图图4外引线排列图 Y为优先扩展输出端。74LS148功能见表2。 其中,ST为选通输入端,YS为选通输出端,EX 表2 74LS148功能表 (3) 3-8线二进制译码器74LS138 74LS138是3-8线二进制译码器,其逻辑符号如图5,外引线排列如图6所示。

编码器和译码器

编码器和译码器 07级23系 马运聪PB07210249 肖阳辉 实验目的: 1掌握编码器、译码器的逻辑功能和分析方法、设计方法。 2熟悉中规模集成电路编码器、译码器的电路结构和功能工作原理。 实验原理: 1优先编码器 输入输出均以低频信号为有效信号。 功能表如下: 输入 输出 S I'0 I'1 I'2 I'3 I'4 I'5 I'6 I'7 Y'2 Y'1 Y'0 Y's Y'ex 1 X X X X X X X X 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 0 X X X X X X X 0 0 0 0 1 0 0 X X X X X X 0 1 0 0 1 1 0 0 X X X X X 0 1 1 0 1 0 1 0 0 X X X X 0 1 1 1 0 1 1 1 0 0 X X X 0 1 1 1 1 1 0 0 1 0 0 X X 0 1 1 1 1 1 1 0 1 1 0 0 X 0 1 1 1 1 1 1 1 1 0 1 0 0 1 1 1 1 1 1 1 1 1 1 1 扩展端: 1=S 编码器工作,0=S 编码器关闭。 1''==EX S Y Y 编码器关闭。 1',0'==EX S Y Y 编码器工作,没有有效输入信号。 0',1'==EX S Y Y 编码器工作,有有效输入信号。

2译码器 输入高电平有效,输出低电平有效真值表如下: 序号 输入输出 A3 A2 A1 A0 Y'0 Y'1 Y'2 Y'3 Y'4 Y'5 Y'6 Y'7 Y'8 Y'9 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 1 2 0 0 1 0 1 1 0 1 1 1 1 1 1 1 3 0 0 1 1 1 1 1 0 1 1 1 1 1 1 4 0 1 0 0 1 1 1 1 0 1 1 1 1 1 5 0 1 0 1 1 1 1 1 1 0 1 1 1 1 6 0 1 1 0 1 1 1 1 1 1 0 1 1 1 7 0 1 1 1 1 1 1 1 1 1 1 0 1 1 8 1 0 0 0 1 1 1 1 1 1 1 1 0 1 9 1 0 0 1 1 1 1 1 1 1 1 1 1 0 伪码1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

第八讲 编码器和译码器

第八讲 编码器和译码器 一、编码器 编码是用代码表示特定对象的过程。编码器是实现编码的逻辑电路。二进制编码的原则是用n 位二进制代码可以表示2n 个信号,对N 个信号编码时,应由2n ≥N 来确定编码位数n 。 1. 二进制编码器:用n 位二进制代码对2n 个信号进行编码的电路。 8-3编码器电路(3位二进制编码器):8个输入信号互斥。当I 1~I 7输入为0时,输出就是I 0的编码。I 0未画出。 输入信号为高电平有效(有效:表示有编码请求),输出代码编为原码(对应自然二进制数)。 2. 二—十进制编码器:将0~9十个十进制数转换为二进制代码的电路。 I 9 I 8 I 7I 6I 5I 4 I 3I 2 I 1 I 0Y Y Y Y (a) 由或门构成 9 8 765432 1 I 0 (b) 由与非门构成 Y Y Y Y

当编码器某一输入信号为1且其它输入信号为0时,有一组数码输出。如I 7=1时,Y 3Y 2Y 1Y 0=0111。输出数码各位的权从高位到低位分别为8、4、2、1,因此是一个8421BCD 码编码器。从编码表可以看出,该编码器输入信号I 0~I 9也是互斥的。 3. 优先编码器 从功能表看出,输入输出的有效信号都是0。在输入中,下标越大,优先级越高。 控制输入端(选通输入端)ST=0时,编码器工作。ST=1时,输出均为1,不进行编码。Ys 为选通输出端。当控制输入端ST=0,但无有效信号输入时,Ys=0。Y EX 为扩展输出端。当ST=0,且有信号输入时,Y EX 才为0,否则为1。 ※ 集成3位二进制优先编码器74LS148 ※ 集成3位二进制优先编码器74LS148的级联 V Y Y I I I I Y 45 6 7 2 1 7 6 5 4 3 2 1 0(a) 引脚排列图(b) 逻辑功能示意图 允许同时输入几个编码信号,而电路只对其中优先级别最高的信号进行编码。右图为8-3优先编码器74LS148的逻辑图。

译码器和编码器实验

实验三译码器与编码器 一实验目得 1、掌握译码器、编码器得工作原理与特点. 2、熟悉常用译码器、编码器得逻辑功能与它们得典型应用。 二、实验原理与电路 按照逻辑功能得不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出得稳态值,仅决定于该时刻各个输入信号取值组合得电路。在这种电路中,输入信号作用以前电路所处得状态对输出信号无影响。通常,组合逻辑电路由门电路组成. 组合逻辑电路得分析方法:根据逻辑图进行二步工作: a、根据逻辑图,逐级写出函数表达式。 b、进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路得设计方法:就就是从给定逻辑要求出发,求出逻辑图.一般分四步进行。 a、分析要求;将问题分析清楚,理清哪些就是输入变量,哪些就是输出函数。 b、列真值表。 c、进行化简:变量比较少时,用图形法.变量多时,可用公式化简. d、画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意得就是,这些步骤并不就是固定不变得程序,实际设计时,应根据具体情况与问题难易程度进行取舍。 1、译码器 译码器就是组合电路得一部分,所谓译码,就就是把代码得特定含义“翻译”出来得过程,而实现译码操作得电路称为译码器.译码器分成三类: a、二进制译码器:如中规模2-4线译码器74LS139。,3—8线译码器74LS138等. b、二—十进制译码器:实现各种代码之间得转换,如BCD码—十进制译码器74LS145等。 c、显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等. 2、编码器 编码器也就是组合电路得一部分。编码器就就是实现编码操作得电路,编码实际上就是译码相反得过程。按照被编码信号得不同特点与要求,编码器也分成三类: a、二进制编码器:如用门电路构成得4—2线,8—3线编码器等。 b、二-十进制编码器:将十进制得0~9编成BCD码,如:10线十进制-4线BCD码编码器74LS147等。 c、优先编码器:如8-3线优先编码器74LS148等。 三、实验内容及步骤 1、译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC空插座中. 按图1、3、1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3得状态(亮为“1”,灭为“0"),并将结果填入表1、3、1中。

编码器和译码器

编码器和译码器 编码器(Encoder) z在数字系统中,常常需要将某一信息(输入)变换为某一特定的代码(输出) z把二进制码按一定的规律编排,例如8421码、格雷码等,使每组代码具有一特定的含义(代表某个数或控制信号)称为编码 z具有编码功能的逻辑电路称为编码器 z它的逻辑功能是将输入的每一个高、低电平信号编成一个对应的二进制代码。

目前经常使用的编码器有普通编码器和优先编码器两类。 优先编码器(74LS148)功能表 译码器(Decoder) z译码是编码的逆过程 z译码器将每个二进制代码赋予的特定含义“翻译”过来,转换成相应的信息符号(输出信号) z具有译码功能的逻辑电路被称为译码器 z它的逻辑功能是将每个输入的二进制代码译成对应的输出高、低电平信号或另一个代码。

常用的译码器电路有二进制译码器、二–十进制译码器和显示译码器。 3线–8线译码器(74LS138)功能表 输出低电平有效 4511真值表

一、验证编码器74LS148和译码器74LS138的逻辑功能: 按上图连接电路,根据74LS148和74LS138的输出状态,填写下表,并分析结果。 二、用两片74LS138扩展为一个4线–16线译码器: 按上图连接电路,根据实验结果,填写下表,并分析电路的工作原理。

三、用74LS138和74LS20双与非门设计下面的多输出函数,画出逻辑电路图。 四、一把密码锁有三个按键,分别为A、B、C。 当三个键都不按下时,锁打不开,也不报警; 当只有一个键按下时,锁打不开,但发出报警信号; 当有两个键同时按下时,锁打开,也不报警; 当三个键同时按下时,锁被打开,但要报警。 试使用74LS138和74LS20双与非门实现此逻辑电路。 五、思考题: 设计一个5–32的二进制译码器 提示:用四片74LS138及一片74LS139(2–4译码器)组成一个树状结构的级联译码器。用74LS139的输入端做5–32译码器高二位输入端,74LS138的译码输入端做5–32译码器的低三位输入端。(注:74LS139是低电平输出)

编码器、译码器的应用

一、实验目的 1.熟悉编码器、译码器的工作原理和使用方法。 2.掌握中规模集成编码器、译码器的逻辑功能及应用。 3.掌握编码器的设计方法及应用。 4.熟悉数码管的工作原理及使用方法。 二、实验仪器与器件 序号仪器或器件名称型号或规格数量 1 逻辑实验箱 SBL型 1 2 双踪示波器 CS-4125 1 3 指针式万用表 500HA型 1 4 8线-3线编码器 74LS148 1 5 3线-8线译码器 74LS138 1 6 七段译码驱动器 74LS48BCD 1 7 4输入二与非门 74LS20 1 8 PC机和仿真软件 Multisim仿真软件 1 三、实验内容 (一)基础实验部分 1. 测试8线-3线优先编码器74LS148的逻辑功能。 表2.3.5 验证8线-3线优先编码器74LS148的逻辑功能表 输入端输出端 〖IN〗〖IN〗〖IN〗 (〖IN〗〖IN〗〖IN〗(〖IN〗〖IN〗 1 × × × × × × × × 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 0 × × × × × × × 0 0 0 0 1 0 0 × × × × × × 0 1 0 0 1 1 0 0 × × × × × 0 1 1 0 1 0 1 0 0 × × × × 0 1 1 1 0 1 1 1 0 0 × × × 0 1 1 1 1 1 0 0 1 0 0 × × 0 1 1 1 1 1 1 0 1 1 0 0 × 0 1 1 1 1 1 1 1 1 0 1 0 0 0 1 1 1 1 1 1 1 1 1 1 1 0 2. 病房优先呼叫器 有3个病房,每一个病房有一个按键,当1#键按下时,1号病房灯亮,且其它按键不起作用;当1#键没按下时,2#键按下,2号病房灯亮,且不响应3#键;只有1#、2#键均没有按下,3#键按下,3号病房灯亮。要求用门电路或者译码器等中规模器件设计电路并验证其功能。 3.用译码器实现多输出函数 用1片74LS138和1片74LS20设计A、B、C三变量的两组输出函数Z1和Z2。即当A、B、C中有奇数个1时,输出Z1=1否则Z1=0;当A、B、C的值(十进数)为偶数(不含0)时,输出Z2=1否则Z2=0。要求列出Z1、Z2的逻辑表达式,用74LS138和74LS20实现其

译码器与编码器的设计与仿真实验报告

实验五译码器与编码器的设计与仿真 班级:通信工程三班学号:20100820314 姓名:龙凤婷 一、实验内容: 1.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计3_8 译码器; 2.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计8_3 优先编码器; 二、电路功能介绍: 1.74138:3_8译码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 译码信号输出端低电平有效。 2.74148:8_3优先编码器 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当我们敲击按键时,被敲击的案件被键盘里的编码器编码成计算机能够识别的ASCII码。 信号输入端:低电平有效 使能输入端:低有效 编码输出端:低电平有效 使能输出端:低有效

组选输出端:低有效 三、实验过程及实验结果: 1.74138:3_8译码器 逻辑电路图: 代码输入端:A、B、C 使能输入端:G1、G2A、G2B 译码信号输出端:Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7 仿真波形图: VHDL语言程序:

2.74148:8_3优先编码器 逻辑电路图: 信号输入端:IN0、IN1、IN2、IN3、IN4、IN5、IN6、IN7 使能输入端:Enable_in 编码输出端:A0_out、A1_out、A2_out 使能输出端:Enable_out 选组输出端:Group_Select_out 仿真波形图:

VHDL语言程序: 四、实验心得: 这次数字设计的实验内容是译码器与编码器的设计与仿真,分别用逻辑电路图和VHDL语言编写程序运行,用仿真波形图来验证其正确性。译码器与编码器的功能恰好相反。编码器是将二进制代码转换成输出信号,译码器是将输入信号转换成一组二进制代码。通过这次上机实验,我对编码器及译码器有了更深一步的了解,对他们的设计实现过程有了一定的了解。实验中用逻辑符号来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。在以后的学习中,我会更加认真对待,在实践中不断提升自己的动手动脑能力,真正学好这门

译码器、编码器及其应用实验报告

实验四译码器、编码器及其应用 实验人员:班号:学号: 一、实验目的 (1)掌握中规模集成译码器的逻辑功能和使用方法; (2)熟悉掌握集成译码器和编码器的应用; (3)掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出Y0~Y7接数字实验箱LED 管,地址A2A1A0输入接实验箱开关,使能端接固定电平(V CC或GND)。电路图如Figure 1所示: Figure 2 E N1EN2A EN2A≠100时,任意拨动开关,观察LED显示状态,记录观察结果。 E N1EN2A EN2A=100时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2)利用3-8译码器74LS138和与非门74LS20实现函数: Y=A B+B C+ABC 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: Y=A B+B C+ABC =A B C+A B C+A B C+ABC =Y0+Y1+Y4+Y7=Y0Y1Y4Y7 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3)用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目8×2=16刚好够用。 而输入端只有 A、B、C三个,故要另用使能端进行片选使两片138译码器进 行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试Y x,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入CBA=000时,应该是Y0输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入CBA=001时,应该是Y1输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

相关主题
文本预览
相关文档 最新文档