当前位置:文档之家› K60 Nano核心板用户手册

K60 Nano核心板用户手册

K60 Nano核心板用户手册
K60 Nano核心板用户手册

K60 Nano核心板

用户手册

版本0.1

用户手册目录

1.K60 Nano板整体介绍 (3)

1.1.板载资源 (3)

1.2.核心板引脚 (5)

2.第一次测试Nano板 (6)

2.1.上电测试 (6)

2.2.观察LED灯 (7)

2.3.观察串口信息 (7)

3.快速开发指南 (7)

3.1.开发包目录说明 (8)

3.2.运行一个示例工程 (9)

3.3.更改内核频率 (11)

3.4.用模板新建一个工程 (11)

4.利用Bootloader给Nano板下载 (13)

1.K60 Nano板整体介绍

“K60 Nano板”是拉普兰德电子技术独家设计的一款专门应用于飞思卡尔智能车竞赛的最小系统板。之所以命名为“ Nano板”,是因为他是目前市场上同类产品中尺寸最小的产品,大小仅为5.5cm*3.5cm的PCB板上板载了LQFP-144封装的K60单片机!该核心板不仅在尺寸上占有优势,更集成了其他核心板不具有的功能,如Micro-SD卡座、USB接口等等!

K60 Nano核心板整体采用白色PCB板与白色塑胶插针组合,焊盘均为沉金工艺!使得该板不仅在功能上领先同类,更在外观上出类拔萃!该最小系统板的整体照片如图1所示。该最小系统板的原理图请见文档“K60 Nano核心板原理图”。

图1. K60 Nano板整体概览

1.1.板载资源

K60 Nano板具有一个JTAG 10Pin仿真/下载接口,引脚数为2x5个,插针距离为标准的100Mil(2.54mm)排针间距。用户可以使用J-Link V8下载器配合转接板给核心板下载程序。注意,如果用户需要给Nano板下载程序,必须给板子供电,因为Jlink下载器在默认情况下不能为目标板输出电源。JTAG接口原理图如图2所示。

小提示:由于采用复合插针,因此该JTAG引脚奇偶对调。

图2. JTAG接口

K60 Nano板搭载了4个LED灯,方便开发者调试时指示各种状态,或测试IO口。这8个LED灯分别与K60芯片的PTA4、PTA6、PTA8和PTA10相连,当IO口为低电平时,对应的LED点亮。LED灯电路如图3所示。

图3. LED电路连接

K60 Nano板搭载了一个TF卡插座,该插座可以使用MicroSD卡进行大容量的数据存储。SD卡类型支持SDHC(高容量SD卡片)。该TF卡插座与芯片的SDHC功能引脚相连,可以方便的通过对内部寄存器进行编程,达到数据存储的目的。该插座电路如图4所示。

图4. TF卡插座电路连接

K60 Nano板还搭载了一个Mini USB接口,该接口与K60的USB控制器模块引脚相连。

用户可以使用该USB接口为核心板供电,也可以使用该接口与电脑的USB接口进行数据通信。同时拉普兰德还提供了K60的Bootloader程序,用户可以用该USB接口直接为K60下载程序。该接口电路如图5所示。

图5. USB接口电路连接

1.2.核心板引脚

K60 Nano核心板将MCU所有可用引脚均通过2.54间距插针引出。值得注意的是,Nano 板上的JTAG接口是上下两用接口,即仿真器插头可以直接插到板子正面进行程序下载和仿真,同时JTAG接口大部分采用K60的IO复用口,因此用户可以直接使用板子背面的引脚用作他用。

K60 Nano的所有已排阵均采用标准间距排列,用户可以直接将核心板插在洞洞板上使用。Nano核心板还在正面为用户设计了大量的测试点,用户可以直接用探针测量每个引脚对应的电平状态。核心板的插针原理图如图6所示。

小提示:由于PTA4为EZP_CS复用引脚,因此板子内部已经接了上拉电阻。

图6. Nano板排针原理图

2.第一次测试Nano板

第一次拿到K60 Nano核心板后,建议用户首先上电进行测试,因为每个核心板在出厂后都写入了默认的LED测试程序以及Bootloader程序。

2.1.上电测试

Nano板有多种供电方式,用户可以直接用附带的Mini USB线给核心板供电,也可以通过核心板背面的插针来供电。

用户只需用Mini USB线将Nano板与电脑USB连接,该板即可通电运行!可以看见板上的D0 LED亮起!

用户还可以用背面的插针给Nano板供电,其中有两种引脚可以使用。一种是3.3V供电引脚,另一种是3.3V~5V供电引脚。如图6中的引脚所示,P3V3引脚为3.3V供电端、IN_5V为3.3~5V供电端。

2.2.观察LED灯

由于Nano板出厂后默认写好了Bootloader程序和LED用户程序,如果用户想要观察LED灯的运行,则需要拔掉已经插在A0和GND上的跳线帽。然后再将其上电,K60 Nano板便会直接运行下载好的LED流水灯程序,4个绿色的LED灯会轮流点亮熄灭,用户可以通过此步骤观察K60 Nano板是否能正常工作,LED灯是否全部正常。

2.3.观察串口信息

除了LED会轮流点亮,K60 Nano还会通过其UART5串口输出相关信息。用户需要手动连接K60 Nano的两个串口引脚至PC。其中PTE8为TXD、PTE9为RXD。

2.4.快速下载程序

K60 Nano板在出厂前就已经写入了Bootloader程序,用户可以不需要下载器就可以直接下载程序。详细使用方法见本文档最后一节“利用Bootlaader给Nano板下载”。如果用户已经利用下载器下载了自己的程序,则该Bootloader会被覆盖,同样请参考该节。

3.快速开发指南

如果你测试好了K60 Nano板,那就开始开发吧。拉普兰德已经为开发者编写了一套K60底层库开发包,并且提供了多打30多个示例工程。开发者可以在遵守开源协议的基础上随意使用该代码包中的函数,快速调用K60的各个模块。例如设置内核频率、AD采集、串口、PWM生成、GPIO等。你甚至无需了解底层代码,只需熟悉接口函数调用参数即可轻松开发K60。该底层库的函数说明详见文档“[LPLD Kinetis底层库V2]函数手册”。

对于开发工具的选择,我们建议开发者使用IAR平台,该平台相对于飞思卡尔官方的CodeWarrior Studio开发环境在运行速度上更加高效,且考虑到IAR兼容性很高,有利于开发者转战其他嵌入式平台的开发。我们推荐您使用IAR for ARM的V6.30以上版本,该开发环境的安装说明可见文档“IAR破解及安装步骤”。

用户可以自行到官网下载相关资料:https://www.doczj.com/doc/bf17958247.html,/?tag=k60

3.1.开发包目录说明

本开发包所有示例工程都是基于拉普兰德K60底层库“LPLD_OSKinetis”开发的,该开发包不仅底层驱动开源,且目录设置较官方工程更加清晰明了,本开发包的特点是将库文件和用户的应用文件完全分开,用户在拷贝工程时无需拷贝整个开发包,只需拷贝project 目录下的相关文件夹即可。目录结构如图7所示。

图7. 开发包目录结构

本开发包下分两个目录“lib”和“project”,前者存放底层代码,后者存放用户工程配置和应用代码。“lib”目录结构如下:

●lib\common -存放通用代码如printf、stdlib等。

●lib\cpu -存放芯片的相关初始化代码。

●lib\drivers -存放芯片外设的基础驱动代码。

●lib\platform -存放K60 Nano板硬件平台宏命令定义及内核时钟定义。

●lib\LPLD -该目录存放本开发包最主要的底层驱动代码,其中的函数使用说明见文

档“[LPLD Kinetis底层库V2]函数手册”。

“project”目录下存放具体的工程文件夹,每个工程文件夹底下又分为“app”和“iar”两个文件夹,前者存放工程的代码源文件.c和中断配置头文件isr.h,后者存放IAR开环环境下的工程配置文件和工作空间文件。

3.1.设置J-Link下载方式

拉普兰德K60底层库的所有例程均使用IAR for ARM v6.30环境开发,并默认采用OSJTAG 下载器,用户在使用J-Link给Nano板下载时,首先要设置工程的下载器选项。

右键左侧“Workspace”框中的工程名,选择“Option”打开工程选项对话框,如图8所示。

图8. 打开工程选项对话框

然后在左侧的分类下选择“Debugger”分类,在右侧选择“Setup”选项卡,在“Driver”下拉框中选择“J-Link/J-Trace”选项即可。如图9所示,点击确定完成配置!

图9. 设置下载器类型

3.2.运行一个示例工程

我们以后的演示都以IAR for ARM v6.30环境为平台。首先以最简单的LED灯工程为例,打开IAR开发环境,依次点击“File”-> “Open”->“Workspace”。如图10所示。

图10. 打开一个工作空间

在“Open Workapace”对话框中,定位到“\LPLD_OSKinetis\project\LPLD_LedLight\iar”目录,选择文件“LPLD_LedLight.eww”(*.eww为工作空间文件),点击打开。会看到如图11所示,IAR窗口的左侧列出了工作空间的工作目录。工作空间所显示的所有文件夹已经在上一小节介绍了。

图11. IAR和Output目录

然后选择左侧“workspace”框下面的下拉选项,这是工程的配置选项,一般我们的工程可以被分为多种配置,这些配置将影响你的程序代码下载到哪里去运行。比如如图12显示,我们选择“RAM”选项,程序就会最终被下载到芯片的RAM中去运行。当然如果芯片重新上电,RAM中的程序就会消失,如果选择下载到“FLASH”,程序就会下载到FLASH中,这样即使断电后,程序也会保存的。但是建议开发者在调试时选则下载到RAM中,这样调试速度快,且不容易因误操作锁死Flash。

图12. 选择工程配置

展开app目录,下面的*.c文件即为我们的示例工程源代码,双击打开会看到里面的

main()主函数,你可以做些简单的修改。接下来点击Make按钮,对工程进行编译,如果工程没有错误,则显示编译通过,错误0,一般不用理会警告数。如图13所示。

图13. 编译通过

编译通过后,就可以进行Debug下载并运行程序了。首先要确保你连接好了J-Link,并且K60 Nano板已经上电了,确定绿色LED灯D0是亮着的。点击DEBUG按钮,稍等片刻程序便会下载完毕。

然后IAR开发界面的工具栏会多出一些调试按钮,如图14所示,点击GO程序便会执行,你会看到LED灯循环点亮!

图14. 点击运行

如果你有TTL转RS232或者转USB串口的转接器,你还可以连接PTE8(TxD)和PTE9(RxD)到你的计算机串口,打开串口助手工具,选择波特率115200,你会看到K60 Nano 板会打印出一些默认信息,如当前内核频率,总线频率等。

3.3.更改内核频率

我们已经在LPLD目录下的HAL_MCG.c文件中编写了相关的超频代码,开发者只需要更改platform目录下k60_Card.h中的宏定义就可以更改工程的内核频率。打开k60_Card.h 文件,找到宏定义“CORE_CLK_MHZ”,更改其后面的选项即可改变内核频率,对于K60P144M100系列的芯片,官方文档所示的最大频率仅为100MHz,因此我们建议用户的应用尽量不要超过100Mhz,否则稳定性不能保证。当然本公司的K60 Nano板在测试例程时均默认用150Mhz,且完全可以稳定运行到200Mhz,但是根据官方的参数,我们并不建议过高的超频使用,功耗、寿命都会受到影响。

3.4.用模板新建一个工程

如果开发者想要新建一个空白的工程以开发自己的应用,我们建议您通过复制模板工程的方式新建工程。这样的好处在于不用进行复杂的工程配置,工程的稳定性可以得到保证!

我们已经为您新建好了空白的模板工程,您只需要修改个别参数,及可以创建新的工程,下面介绍新建步骤。

首先复制“\projects”目录下的LPLD_Template文件夹,粘贴为“LPLD_Template - 副本”,将该文件夹的名字修改为你的工程名,例如“Test”。

进入“\projects\Test”目录下的“app”文件夹,将“LPLD_Template.c”修改为“Test.c”。

进入“\projects\Test”目录下的“iar”文件夹,以记事本打开*.eww文件,按Ctrl+H,输入查找内容为“LPLD_Template”,输入替换为“Test”。点击“全部替换”,如图15所示。

图15. 替换模板名称

以同样的方法替换*.ewp和*.dep里的内容,完毕后保存退出。

然后将“iar”目录下的文件名全部改为图16中的名字,“Test”为你的工程名,勿将“_K60”字符删除。

图16. 更改工程配置文件名称

最后用IAR打开工作空间文件Test.eww,你得到一个全新的空白工程。如图17所示。

图17. 全新的空白工程

4.利用Bootloader给Nano板下载

K60 Nano板集成了USB接口,并且在出厂前已经写入了拉普兰德开发的K60 Bootloader 程序,用户可以利用Mini USB线以及我们提供的上位机程序下载应用程序!

用户可以参考文档“利用Bootloader给K60下程序”,来给Nano板下载程序,如果您在首次拿到Nano板后没有下载其他程序,则可以直接参考该文档的第4节。

如果您已经用Nano板下载了自己的程序,则需要重新下载Bootloader程序以下载用户应用程序。

奋斗STM32开发板Tiny NRF24L01转USB虚拟串口例程手册

奋斗版 STM32 开发板例程手册———NRF24L01+转 USB 虚拟串口实验
https://www.doczj.com/doc/bf17958247.html,
NRF24L01+转 USB 虚拟串口实验
实验平台:奋斗版STM32开发板Tiny 实验内容:板子通过USB加电后,先向串口1输出一串测试数据,然后USB被PC识 别出来,虚拟出一个串口号给这个USB设备,此时可以通过在PC端的串口助手类 软件选择该串口号。进入串口软件界面,可以通过软件无线收发一帧长度最长 为32字节的数据。该例程可以和V3及MINI板的NRF24L01 UCGUI例程配合使用。
预先需要掌握的知识 2.4G通信模块NRF24L01 1. 产品特性
2.4GHz 全球开放ISM 频段,最大0dBm 发射功率,免许可证使用 支持六路通道的数据接收 低工作电压:1.9 1.9~3.6V 低电压工作 高速率:2Mbps,由于空中传输时间很短,极大的降低了无线传输中的碰撞现象(软件设置1Mbps或者2Mbps的空中传输速率) 多频点:125 频点,满足多点通信和跳频通信需要 超小型:内置2.4GHz天线,体积小巧,15x29mm(包括天线) 低功耗:当工作在应答模式通信时,快速的空中传输及启动时间,极大的降低了电流消耗。 低应用成本:NRF24L01 集成了所有与RF协议相关的高速信号处理部分,比如:自动重发丢失数据包和自动产生应答信号等, NRF24L01的SPI接口可以利用单片机的硬件SPI口连接或用单片机I/O口进行模拟,内部有FIFO可以与各种高低速微处理器接口, 便于使用低成本单片机。 便于开发:由于链路层完全集成在模块上,非常便于开发。 自动重发功能,自动检测和重发丢失的数据包,重发时间及重发次数可软件控制 自动存储未收到应答信号的数据包 自动应答功能,在收到有效数据后,模块自动发送应答信号,无须另行编程 载波检测—固定频率检测 内置硬件CRC 检错和点对多点通信地址控制 数据包传输错误计数器及载波检测功能可用于跳频设置 可同时设置六路接收通道地址,可有选择性的打开接收通道 标准插针Dip2.54MM 间距接口,便于嵌入式应用
2.基本电气特性
淘宝店铺:https://www.doczj.com/doc/bf17958247.html,
1

51开发板说明书

开发板开发板简介简介简介 硬件:供电方式采用USB 取电和外部电源(5V)供电。带有多种品牌(Atmel,Winbond,SST,STC )单片机的ISP 电路,均通过下载接口或USB 线和PC 相连,简单方便稳定,速度快。有常用的LCD 接口,数码管显示电路,等等。

一、STC单片机的程序烧写与运行 1.1 打开STC-ISP V483软件的exe 文件,如下图所示: 步骤1:选择要下载的单片机型号,如下图所示: 步骤2:打开要下载的程序文件,注意这里下载的需要是扩展名为.hex或.bin的文件,这里的图片是默认的测试文件

再双击test-hex文件夹得到以下图片:

选择twoball-2k.bin,点击打开。 步骤3:选择端口 首先把实验板通过USB延长线连接到电脑上,然后右击“我的电脑”,选择“管理”,单击设备管理器,点击端口前的加号将其展开,当发现这个时,说明驱动的安装和实验板的下载电路应该是没什么问题的,这里的可以看出端口是COM14。 其次是选择好端口,如下图所示: 步骤4:下载程序到单片机(注意的是STC的单片机需要重新给系统上电才能下载到单片机)点击下图所示的Download/下载按钮 当出现下图所示的提示时,如果实验板是在通电的情况下,则按一下实验板的开关稍等两秒左右,再按一下开关重新给实验板上电,稍等片刻就下载成功。如果实验板是在不通电的情况下,则按一下实验板的开关重新给实验板上电,稍等片刻就下载成功 下载成功的提示如下图: 下载过程中如果端口选择对的情况下,出现如下图所示: 原因在于连电脑USB插口松动。解决办法:1、重新把延长线从实验板上拔掉,然后再插上。

金龙STM32F207开发板用户手册

1.概述 金龙STM32开发板用户手册芯片描述 -ARM32-bit Cortex-M3CPU -120MHz maximum frequency,150DMIPS/1.25DMIPS/MHz -Memory protection unit Memories -Up to1Mbyte of Flash memory -Up to128+4Kbytes of SRAM -Flexible static memory controller (supports Compact Flash,SRAM,PSRAM,NOR,NAND memories) -LCD parallel interface,8080/6800modes Clock,reset and supply management -1.8to3.6V application supply and I/Os -POR,PDR,PVD and BOR -4to25MHz crystal oscillator -Internal16MHz factory-trimmed RC -32kHz oscillator for RTC with calibration -Internal32kHz RC with calibration Low power -Sleep,Stop and Standby modes -VBAT supply for RTC, C32bit backup registers 20 optional4KB backup SRAM C12-bit,0.5us A/D converters 3 -up to24channels -up to6MSPS in triple interleaved mode C12-bit D/A converters 2 General-purpose DMA -16-stream DMA controller centralized FIFOs and burst support Up to17timers -Up to twelve16-bit and two32-bit timers Debug mode -Serial wire debug(SWD)&JTAG interfaces -Cortex-M3Embedded Trace Macrocell Up to140fast I/O ports with interrupt capability -51/82/114/140I/Os,all5V-tolerant Up to15communication interfaces C I2C interfaces(SMBus/PMBus) -Up to3 -Up to6USARTs(7.5Mbit/s,ISO7816interface,LIN,IrDA,modem control)

KR-51开发板使用说明

KR-51/AVR开发板使用说明 声明: 本指导教程和配套程序仅在开发和学习中参考,不得用于商业用途,如需转载或引用,请保留版权声明和出处。 请不要在带电时拔插芯片以及相关器件。自行扩展搭接导致不良故障,本公司不负任何责任。产品不定时升级,所有更改不另行通知,本公司有最终解释权。 一、开发板硬件资源介绍 1 .开发板支持USB 程序下载(宏晶科技STC系列单片机) 2. 开发板支持AT89S51 ,AT89S52 单片机下载(需要配合本店另外下载器下载) 3. 开发板支持ATmega16,ATmega32 AVR 单片机下载(需要配合本店另外转接板和下载器使用) 4. 开发板供电模式为:电脑USB 供电(USB 接口)和外部5V 电源供电(DC5V接口) 5. 开发板复位方式:上电复位和51按键复位 6. 外扩电源:通过排针外扩5路5V 电源,3路3.3V电源方便连接外部实验使用 7. 所有IO 引脚全部外扩,方便连接外部实验使用 8. 开发板集成防反接电路,防止接反,保护开发板 二、开发板功能模块介绍 (1 )8 位高亮度贴片led 跑马灯; (2) 4 位共阳数码管显示; (3)LCD1602 和LCD12864液晶屏接口; (4) 1 路无源蜂鸣器; (5) 1 路ds18b20 温度测量电路(与DHT11 温湿度接口共用); (6) 1 路红外接口电路 (7) 4 路独立按键 (8) 1 路CH340 USB转串口通讯电路(全面支持XP/WIN7/WIN8系统); (9)1路蓝牙模块接口(可做蓝牙测试板,USB转蓝牙); (10)1路2.4G模块接口; (11)1路WiFi模块接口(可做WiFi测试板,USB转WiFi) 三开发板跳线选择 本开发板接线简单,适合初学者使用,开发板各模块的跳线使用注意事项:烧写程序时,拔掉蓝牙模块,WiFi模块,J10处用跳线帽短接1,3和2,4。蓝牙模块和WiFi模共用串口,不能同时使用。使用1602、12864液晶接口时请拔下数码管J4 跳线帽。以下是几个主要跳线的使用说明;

路虎开发板用户手册

路虎NXP LPC1768开发板 用户手册

1、概述 路虎开发板采用 NXP公司 LPC1768 ARM是一款基于第二代 ARM Cortex-M3内核的微控制器,是为嵌入式系统应用而设计的高性能、低功耗的 32位微处理器,适用于仪器仪表、工业通讯、电机控制、灯光控制、报警系统等领域。路虎开发板板载 USB仿真器,支持 USB2.0 Device,具有双 CAN接口、RS-485接口等功能。路虎开发板配套丰富的例程和详尽的资料,方便用户快速进行项目开发。 功能特点: 强大的 MCU内核:Cortex-M3 ●处理速率高达 100MHz,并包含一个支持 8个区的存储器保护单元(MPU) ●内置嵌套向量中断控制器(NVIC) ● 512KB片上 Flash程序存储器,支持在系统编程(ISP)和在应用编程(IAP) ● 64KB SRAM可供高性能 CPU通过指令总线、系统总线、数据总线访问 ● AHB多层矩阵上具有 8通道的通用 DMA控制器(GPDMA) ●支持SSP、UART、AD/DA、定时器、GPIO等,并可用于存储器到存储器的传输 ●标准 JTAG测试/调试接口以及串行线调试和串行线跟踪端口选项 ●仿真跟踪模块支持实时跟踪 ● 4个低功率模式:睡眠、深度睡眠、掉电、深度掉电

●单个 3.3V电源(2.4V – 3.6V) ●工作温度:-40 °C - 85°C ●不可屏蔽中断(NMI)输入 ●片内集成上电复位电路 ●内置系统节拍定时器(SysTick),方便操作系统移植。 丰富的板载资源: 1、2路 RS232串行接口(使用直通串口线、其中一路串口支持 ISP下载程序) 2、2路 CAN总线通信接口(CAN收发器:SN65VHD230) 3、RS485通信接口(485收发器:SP3485) 4、RJ45-10/100M Ethernet网络接口(以太网 PHY:DP83848) 5、DA输出接口(可做 USB声卡实验、板载扬声器和扬声器输出驱动) 6、AD输入接口(可调电位器输入) 7、彩色液晶显示接口(可接 2.8寸或 3.2寸 TFT 320X240彩屏) 8、USB2.0接口,USB host及 USB Device接口。 9、SD/MMC卡(SPI)接口(提供带 FAT12、FAT16、FAT32文件系统)

奋斗版STM32开发板Mini板硬件说明书

奋斗版STM32开发板Mini板的硬件说明 1. 供电电路: AMS1117-3.3输入+5V,提供3.3V的固定电压输出,为了降低电磁干扰,C1-C5为CPU 提供BANK电源(VCC:P50、P75、P100、P28、P11 GND:P49、P74、P99、P27、P10)滤波。CPU的模拟输入电源供电脚VDDA(P22)通过L1 22uH的电感与+3.3V VDD电压连接,CPU的模拟地VSSA(P19)及VREF-(P20)通过R1 0欧电阻与GND连接。VREF+(P21)采用VDDA(P22)电源基准。 为RTC的备份电源采用V1 3.3V锂离子片状电池。 2. 启动方式设置: Boot1—Boot0(P37,P94): x0: 内部程序存储区启动01:系统存储区启动(为异步通信ISP编程方式) 在此将BOOT1始终设置为0, BOOT0为可变的状态,在正常模式下将其置为0,在ISP 编程时将其置为1。用JP1跳线块设置,开路为ISP模式,短路为正常运行模式。 3. 时钟源电路: 外部晶体/陶瓷谐振器(HSE)(P12、P13):B1:8MHz晶体谐振器,C8,C9谐振电容选择10P。系统的时钟经过PLL模块将时钟提高到72MHz。 低速外部时钟源(LSE)(P8、P9):B2: 32.768KHz晶体谐振器。C10,C11谐振电容选择

10P。注意:根据ST公司的推荐, B2要采用电容负载为6P的晶振,否则有可能会出现停振的现象。 4. SPI存储电路: D2 AT45DB161(2M Bytes)CPU采用SPI1端口PA7-SPI1-MOSI(P32)、PA6-SPI1-MISO (P31)、PA5-SPI1-SCK(P30)、PA4-SPI1-NSS(P29)控制读写访问, SPI1地址:0x4000 3800 - 0x4000 3BFF 5. 显示及触摸接口模块: 显示器采用2.4” TFT320X240LCD(控制器ILI9325), 采用CPU的FSMC功能,LCD片选CS采用FSMC_NE1(P88),FSMC_A16(P58)作为LCD的RS选择,FSMC_nWE(P86)作为LCD的/WR, FSMC_nOE(P85)作为LCD的/RD, LCD的RESET脚用CPU的PE1(P98)(LCD-RST),FSMC_D0---FSMC_D15和LCD的D1-D8 D10-D17相互连接,触摸屏接口采用SPI1接口,片选为PB7-SPI1-CS3,由于LCD背光采用恒流源芯片PT4101控制,采用了PWM控制信号控制背光的明暗, PWM信号由PD13-LIGHT-PWM来控制。触摸电路的中断申请线由PB6-7846-INT接收。 LCD寄存器地址为:0x6000 0000, LCD数据区地址:0x6002 0000。

RK3188开发板使用手册v1.0

RK3188开发板使用手册v1.0 一.安装RockUsb驱动 (2) 二.查看串口输出信息 (5) 三.烧写/下载固件 (8) 四.Kernel开发 (11) 五.Android开发 (12) 六.制作固件升级包update.img (13) 七.Recovery系统 (14) 八.Android系统USB操作 (17)

一.安装RockUsb驱动 Rockusb驱动放在RK3188\tools\RockusbDriver文件夹中 当你第一次使用RK3188SDK开发板时,接好USB线,按住“VOL+(RECOVERY)”按键上电,会要求安装驱动,按下面的图示步骤进行安装: 图1 选择“否,暂时不(T)”,点击“下一步”进入图2所示界面

图2 选择“从列表或指定位置安装(高级)”,点击下一步,进入图3界面 图3 选择你的驱动所存放的目录,点击“下一步”开始安装驱动,如图4所示

图4 完成以后可以在设备管理器看到设备已经安装成功 图5

二.查看串口输出信息 RK3188SDK开发板没有使用普通的串口,而是使用USB口来输出串口信息,你可以用一根特殊的USB调试线将开发板上的USB口连接到你的电脑中来查看串口信息。 1、在连接USB口之前,请先安装PL-2303USB转串口驱动 2、驱动安装完成后,再使用USB线将开发板上名为“UART0”的USB口连接到PC 中,然后你应该可以在设备管理器中看到一个新设备,如下所示: 3、使用串口工具查看开发板的输出信息。 在这边我以Windows自带的超级终端为例说明串口的配置: a、点击开始->所有程序->附件->通讯->超级终端 点击确定 b、选择正确的COM口:

51单片机开发板使用手册

STU_MAIN单片机开发板使用手册 第一章STU_MAIN 单片机开发板简介 (2) 1.1 单片机开发板概述 (2) 1.2 单片机开发板载资源介绍 (2) 1.3 STU_MAIN 单片机开发板接口说明 (4) 1.4 如何开始学习单片机 (5) 第二章软件使用方法 ......................... . (6) 2.1 KEIL 软件的使用方法 (6) 2.2 STC-ISP 软件的安装与使用 (13) 2.3 使用USB 口下载程序时设置步骤 (18) 第三章STU_MAIN 开发板例程详细介绍 (21) 3.1 准备工作 (21) 3.2 安装STC-ISP下载程序 (21) 3.3 闪烁灯 (22) 3.4 流水灯 (23) 3.5 单键识别 (25) 3.6 利用定时器和蜂鸣器唱歌 (28) 3.7 DS18B20 温度测量显示实验 (31) 3.8 LCD1602 字符液晶显示 (36) 3.9 串口通讯实验 (39) 3.10 基于DS1302的多功能数字钟实验 (41) 3.11 EEPROM X5045 实验 (47)

第一章STU_MAIN 单片机开发板简介 1.1 单片机开发板概述 STU_MAIN 单片机开发板是经过精心设计开发出的多功能MCS-51 单片 机开发平台。该开发板集常用的单片机外围资源、串口调试下载接口于一身,可以让您在最短的时间内,全面的掌握单片机编程技术。该开发板特别适合单片机初学者、电子及通信等专业的课程设计以及电子爱好者自学使用。 STU_MAIN 单片机开发板可作为单片机课程的配套设备,课程从最基本的预备知识开始讲起,非常详细的讲解KEIL 编译器的使用,包括软件仿真、测定时间、单步运行、全速运行、设置断点、调试、硬件仿真调试、变量观察等,整个过程全部用单片机的C 语言讲解,从C 语言的第一个主函数MAIN 讲起,一步步一条条讲解每一个语法、每条指令的意思,即使对单片机一巧不通,对C 语言一无所知,通过本课程的学习也可以让你轻松掌握MCS-51 单片机的C 语言编程。全新的讲课风格,跳过复杂的单片机内部结构知识,首先从单片机的应用讲起,一步步深入到内部结构,让学生彻底掌握其实际应用方法,把MCS-51单片机的所有应用、每个部分都讲解的非常清晰明了,授课教师在教室前面用电脑一条一条写程序,旁边用STU_MAIN 单片机开发板逐个实验的演示,给学生解释每条指令的意思及原理,通过一学期的学习让学生完全掌握单片机的C 语言编程及单片机外围电路设计的思想。以实践为主、学生现场写程序、直接下载到开发板观察现象。 1.2 单片机开发板载资源介绍 一. STU_MAIN单片机开发板(串口直接下载程序) 本开发板以STC 公司生产的STC90C54RD+ 单片机做核心控制芯片,它是 一款性价比非常高的单片机,它完全兼容ATMEL 公司的51/52系列单片机,除此之外它自身还有很多特点,如:无法解密、低功耗、高速、高可靠、强抗静电、强抗干扰等。 其次STC 公司的单片机内部资源比起ATMEL 公司的单片机来要丰富的多,它内部有1280 字节的SRAM、8-64K 字节的内部程序存储器、2-8K 字节的ISP 引导码、除P0-P3 口外还多P4 口(PLCC封装)、片内自带8路8位AD(AD 系列)、片内自带EEPROM、片内自带看门狗、双数据指针等。目前STC 公司的单片机在国内市场上的占有率与日俱增,有关STC 单片机更详细资料请查阅相关网站。 STU_MAIN单片机开发板可完全作为各种MCS-51单片机的开发板,用汇编语言或C 语言对其进行编程。当用STC 公司的单片机时,直接用后面介绍的串口线将开发板与计算机串口相连,按照STC 单片机下载操作教程便可下载程序,

STM32F429开发板用户手册

STM32F429开发板用户手册 介绍 STM32F429(32F429IDISCOVERY)开发板可以帮助你去学习高性能STM32F4系列,并去开发你自己的应用。它包含了一个STM32F429ZIT6和一个嵌入ST-LINK/V2调试接口,2.4吋TFTLCD,64MbitsSDRAM,ST微机电陀螺仪,按键和USB OTG接口。

1约定 下表提供了一些约定惯例,目前的文档可能会用到。

2快速入门 STM32F429开发板是一种廉价且易于上手的开发套件,可以让使用者快速评估和开始STM32F4的开发工作。 在安装和使用产品以前,请接收评估产品许可协议。 2.1启动 跟随以下顺序来设置STM32F429开发板并开始开发应用: 1、确认跳线JP3和CN4被设置为“on”(开发模式) 2、连接STM32F429Discovery开发板CN1到PC,使用USB电缆(type A/mini-B),开发板上电。 3、屏幕上以下应用可用: 时钟日历和游戏 视频播放器和图片浏览器(播放浏览USB大容量存储器上的视频和图片)性能显示器(观察CPU负载和图形测试) 系统信息 4、演示软件,也像其他软件例程,运行你用来开发STM32F4。 5、从例程开始开发你自己的应用吧。 2.2系统要求 ?Windows PC(XP,Vista,7) ?USB type A to mini-B cable 2.3支持STM32F429开发板的开发工具 ?Altium:TASKING?VX-Toolset ?Atollic:TrueSTUDIO ?IAR:EWARM ?Keil?:MDK-ARM 2.4订购码 要订购STM32F429Discovery kit,请使用STM32F429I-DISCO订购码。 3特性 STM32F429Discovery开发板提供一下特性: ?S TM32F429ZIT6具有2MB闪存,256KB的RAM,LQFP144封装。 ?板载ST-LINK/V2,带有选择模式跳线,可以作为独立的ST-LINK/V2使用。 ?板电源:通过USB总线或外部3V或5V电源。 ?L3GD20:ST微机电动作传感器,3轴数字输出陀螺仪 ?TFT LCD,2.4寸,262K色RGB,240*230分辨率 ?SDRAM64Mbits(1Mbit x16-bit x4-bank),包含自动刷新模式和节能模式 ?六个LED: LD1(红绿):USB通信 LD2(红):3.3V电源 两个用户LED LD3(绿),LD4红 两个USBOTG LED:LD5(绿)VBUS和LD6OC(过流) ?两个按键(user and reset)

最新FPGA开发板使用说明书

F P G A开发板使用说明 书

目录 第一章综述 (1) 第二章系统模块 (2) 第三章软件的安装与使用 (11) 第四章USB 电缆的安装与使用 (28) 仅供学习与交流,如有侵权请联系网站删除谢谢36

第一章综述 THSOPC-3型 FPGA开发板是根据现代电子发展的方向,集EDA和SOPC系统开发为一体的综合性实验开发板,除了满足高校专、本科生和研究生的SOPC教学实验开发之外,也是电子设计和电子项目开发的理想工具。 一、实用范围: ●自主创新应用开发; ●单片机与FPGA联合开发; ●IC设计硬件仿真; ●科研项目硬件验证与开发; ●高速高档自主知识产权电子产品开发; ●毕业设计平台; ●研究生课题开发; ●电子设计竞赛培训; ●现代DSP开发应用; ●针对各类CPU IP核的片上系统开发; ●DSP Biulder系统设计。 二、硬件配置: THSOPC-3型 FPGA开发板基于Altera Cyclone II 器件的嵌入式系统开发提供了一个很好的硬件平台,它可以为开发人员提供以下资源: ●支持+5V 电源适配器直接输入或者USB接口供电, 5V、3.3V、1.2V混合电压源; 仅供学习与交流,如有侵权请联系网站删除谢谢36

●FPGACycloneII FPGA EP2C8,40万门,2个锁相环; ●isp单片机AT89S8253。isp单片机AT89S8253及开发编程工具,MCS51兼容,12KB isp可编程Flash ROM,2KB ispEEPROM,都是10万次烧写周期;2.7-5.5V工作电压;0-24MHz工作时钟;可编程看门狗;增强型SPI串口,9个中断源等。此单片机可与FPGA联合开发,十分符合实现当今电子设计竞赛项目的功能与指标实现; ●EPM3032 CPLD; ● 4 Mbits 的EPCS4 配置芯片; ●512KB高速SRAM; ●20MHz 高精度时钟源(可倍频到300MHz); ● 4 个用户自定义按键; ●8 个用户自定义开关; ●8 个用户自定义LED; ● 2 个七段码LED; ●标准AS 编程接口和JTAG调试接口; ●两个标准2.54mm扩展接口,供用户自由扩展; ●RS-232 DB9串行接口; ●PS/2键盘接口; ●VGA接口; ●4X4键盘; 仅供学习与交流,如有侵权请联系网站删除谢谢36

STM32 NUCLEO板用户手册

April 2014DocID025833 Rev 3 1/49 Introduction The STM32 Nucleo board (NUCLEO-F030R8, NUCLEO-F072RB, NUCLEO-F103RB, NUCLEO-F302R8, NUCLEO-F401RE, NUCLEO-L152RE ) provides an affordable and flexible way for users to try out new ideas and build prototypes with any STM32 microcontroller lines, choosing from the various combinations of performance, power consumption and features. The Arduino ? connectivity support and ST Morpho headers make it easy to expand the functionality of the Nucleo open development platform with a wide choice of specialized shields. The STM32 Nucleo board does not require any separate probe as it integrates the ST-LINK/V2-1 debugger/programmer. The STM32 Nucleo board comes with the STM32 comprehensive software HAL library together with various packaged software examples, as well as direct access to mbed online resources at https://www.doczj.com/doc/bf17958247.html, . (1) 1.Picture not contractual. https://www.doczj.com/doc/bf17958247.html,

百问网精智JZ2440开发板使用手册 S3C2440

百问网·精智JZ2440使用手册提示:除了QT外,可以不看本手册,参考《嵌入式Linux应用开发完全手册》及视频即可

第1章嵌入式Linux开发环境构建 (4) 1.1 安装Ubuntu 9.10 (4) 1.1.1 安装VMware (4) 1.1.2 安装Ubuntu 9.10 (13) 1.2 安装Ubuntu下的开发工具 (20) 1.3 安装Windows下的开发工具 (22) 第2章精智JZ2440开发板烧写程序方法 (23) 2.1 使用JTAG工具烧写开发板 (23) 2.1.1 Windows下并口JTAG驱动安装 (23) 2.1.2 Windows下OpenJTAG驱动安装 (29) 2.1.3 Ubuntu下驱动程序的安装 (29) 2.1.4 JTAG烧写软件oflash的用法 (29) 2.2 通过u-boot烧写整个系统 (29) 2.2.1 在Windows下使用dnw和u-boot烧写系统 (30) 2.2.2 在Linux下使用dnw和u-boot烧写系统 (31) 第3章板上Linux系统搭建 (33) 3.1 修改、编译、使用u-boot (33) 3.1.1 使用补丁修改、编译u-boot (33) 3.1.2 u-boot使用方法 (33) 3.2 修改、编译、使用Linux内核 (36) 3.2.1 使用补丁修改、编译内核 (36) 3.2.2 使用uImage (36) 3.3 修改、编译QT (36) 3.3.1 编译依赖的软件 (36) 3.3.2 使用补丁修改、编译QT (39) 3.4 构造根文件系统 (39) 3.4.1 基于最小根文件系统制作QT文件系统 (39) 3.4.2 制作YAFFS2、JFFS2文件系统映象文件 (42)

STM32F0-DISCOVERY用户手册

1/30 文档ID 022910第1版2012年3 月 STM32F0DISCOVERY STM32F0探索套件 UM1525 前言 STM32F0DISCOVERY 是意法半导体STM32F0系列微控制器的探索套件,用于帮助你探索STM32F0 Cortex-M0微控制器的功能,轻松开发应用设计。STM32F0探索套件基于1颗STM32F051R8T6微控制器,组件包括ST-LINK/V2嵌入式调试工具、LED 指示灯、按键和1个原型板。 图1: STM32F0 探索套件 用户手册

2/30UM1525 文档ID 022910第1版 目录目录 1. 约定....................................................................................................................................52. 快速入门 (6) 2.1 开始使用........................................................................................................ 62.2 系统要求..........................................................................................................62.3 支持STM32F0探索套件的开发工具链 .......................................................62.4 订货代码. (6) 3. 特性....................................................................................................................................74. 硬件与原理图.. (8) 4.1 STM32F051R8T6 微控制器 ..........................................................................114.2 嵌入式ST-LINK/V2编程器/调试器 . (13) 4.2.1 使用ST-LINK/V2向板载STM32F0烧录和调试代码 ............................14 4.2.2 使用ST-LINK/V2向外部STM32应用板烧录和调试代码. (15) 4.3电源和电源选择............................................ 164.4 LED 指示灯 ...................................................................................................164.5 按键................................................................................................................164.6 JP2(Idd ) ﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍﹍ 16 4.7 OSC 时钟 -----------------------------------------------------------------------------174.7.1 OSC 时钟电源 .............................................................................................174.7.2 OSC 32kHz 时钟电源 17 4.8 焊桥.................................................................................................................184.9 扩展连接器.. (19) 5. 尺寸图..............................................................................................................................266. 原理图..............................................................................................................................277. 修改历史记录 (30)

STM32_WIFI开发板开发指南及使用说明-V0.32

北京世讯电子技术有限公司
STM32 WIFI 开发板开发指南及教程
欢迎选用世讯电子的开发板! 注意: 注意:如果你是初学者, 如果你是初学者,务必仔细 务必仔细阅读 仔细阅读每节内容 阅读每节内容! 每节内容! 1. 使用指南
1.1 adhoc 模式工作( 模式工作(板子默认 wifi 工作模式) 工作模式)
拿到板子后, ,先不要下载程序, 1) 拿到板子后 先不要下载程序,先给板子上电, 先给板子上电,测试运行一下! 测试运行一下! 2) 观看开发板上的指示灯, 观看开发板上的指示灯,等到 WIFI 模块旁边的 LED 不闪烁了。 不闪烁了。 3) 打开电脑的 wifi, 搜索一下, 搜索一下,看看能不能找到“ 看看能不能找到“ShiXun_ADHOC”这样的网 络,如下图所示
4) 如果不能找到“ 如果不能找到“ShiXun_ADHOC”网络, 网络,需先恢复出厂设置, 需先恢复出厂设置,具体查看 1.2
恢复出厂设置模式
5) 在电脑上选中“ 在电脑上选中“ShiXun_ADHOC”网络, 网络,输入密码“ 输入密码“1234567890123”然后 选择连接。 选择连接。 6) 然后等待, 然后等待,这个过程有点长, 这个过程有点长,几十秒甚至 几十秒甚至 1 分多钟。 分多钟。 7) 等 wifi 模块旁边的 D6(LED)指示常亮 指示常亮了 常亮了,说明网络连接上了。 说明网络连接上了。说明板子工 作正常。 作正常。如下所示
Rev 0.32
Confidential Copyright@2014 by Shixun Electronic Inc
-
1-

单片机开发板操作手册.

单片机开发板操作手册 一、概述 1,多功能单片机开发板,板载资源非常丰富,仅是包括的功能(芯片)有:步进电机驱动芯片ULN2003、 八路并行AD转换芯片ADC0804、 八路并行DA转换芯片DAC0832、 光电耦合(转换)芯片MOC3063、 八路锁存器芯片74HC573、 实时时钟芯片DS1302及备用电池、 IIC总线芯片A T24C02、 串行下载芯片MAX232CPE, 双向可控硅BTA06-600B、 4*4矩阵键盘、 4位独立按键、 DC5V SONGLE继电器、 5V蜂鸣器、 八位八段共阴数码管 5V稳压集成块78M05 八路发光二极管显示 另还有功能接口(标准配置没有芯片但留有接口,可直接连接使用):单总线温度传感器DS18B2接口、 红外线遥控接收头SM003接口8、 蓝屏超亮字符型液晶1602接口、 蓝屏超亮点阵图形带中文字库液晶12864接口、 2(4)相五线制小功率步进电机接口、 外接交流(7V-15V)电源接口 USB直接取电接口 镀金MCU晶振座 40DIP锁紧座 外接电源和5V稳压电源的外接扩展接口及MCU所有IO口扩展2,可以完成的单片机实验: 1、LED显示实验(点亮某一个指示灯、流水灯), 2、八位八段数码管显示实验(你可以任意显示段字符和数字以及开发板所有功能芯 片的显示), 3、液晶显示(1602液晶显示、12864点阵中文图形液晶显示、可以显示出开发板所 有功能芯片的操作), 4、继电器的操作 5、蜂鸣器的操作(你可以编写程序让它发出美妙动听的歌声) 6、可控硅的操作(胆大的朋友就利用这一独有的功能吧,你见过实验室温度实验箱 没有,它的驱动就是这样的;聪明的朋友就可以自己写个程序把把加热温度温 度恒定在(X±0.5)度的范围内了 7、步进电机的操作(这个是迈向自动化控制的第一步,现在的数控机床、机器人呀

AX301用户手册REV1.0

FPGA黑金开发平台 用户手册 AX301 REV 1.0 芯驿电子科技(上海)有限公司 黑金动力社区 https://www.doczj.com/doc/bf17958247.html,

目录 一、简介 (3) 二、电源 (6) 三、FPGA (7) 1)JTAG接口 (8) 2)配置芯片:M25P16 (8) 3)FPGA供电引脚 (9) 4)FPGA时钟输入引脚 (10) 四、50M有源晶振 (11) 五、SDRAM (11) 六、EEPROM 24LC04 (14) 七、PS/2接口 (15) 八、实时时钟DS1302 (16) 九、数码管 (17) 十、USB转串口 (19) 十一、VGA接口 (20) 十二、蜂鸣器 (23) 十三、SD卡槽 (24) 十四、扩展口 (25) 十五、LED (27) 十六、按键 (28) 十七、摄像头接口 (29) 十八、7寸TFT接口 (30)

FPGA黑金开发平台学生版2014款正式发布了,此款开发平台是在前两款学生版的基础上升级而来,型号为:AX301。虽然是一款入门级的FPGA开发平台,但是我们不管是在PCB设计上,还是在整个构造上都花费了很多的心思,真可谓“简约而不简单”。 一、简介 在这里,对这款FPGA开发平台进行简单的功能介绍。 此款开发板使用的是ALERA公司的CYCLONE IV系列FPGA,型号为EP4CE6F17C8,256个引脚的FBGA封装。根据ALTERA官方的数据,CYCLONE IV相对CYCLONE III来说,功耗减少25%,如下图所示 此款FPGA的资源如下图所示:

其中,主要的参数, 逻辑单元LE:6272; 乘法器LAB:392; RAM:276480bit; IO数量:179个; 内核电压:1.15V-1.25V(推荐1.2V); 工作温度:0-85℃ 图为整个系统的结构示意图:

STM32核心板

STM32F407VET6 Mini最小系统 产品简介: 这是一款基于STM32F407VET6为主芯片的ARM核心板,有如下特点: 1、板载了基于MCU的最基本电路,如晶振电路、USB电源管理电路和USB接口等。 2、核心板引出了所有的I/O口资源。 3、带有SWD仿真调试下载接口,该接口最少需要3根线就可以完成调试下载任务,相比传统的JTAG调试有不少的好处,在这里插一句,JTAG现在大有要淘汰的趋势,例如ST 新出的M0系列的MCU只保留了SWD调试接口,JTAG直接取消了。 4、使用了目前智能手机所使用的Mirco USB接口,使用方便,可做USB通讯和供电。 5、核心板的系统晶振(25MHz)使用精度极高质量上乘低负载NDK公司的NX5032GA,而没有使用价格低廉的铁壳晶振。 6、针对STM32 RTC不起振的问题,我们采用了官方建议的低负载RTC晶振方案,并使用了爱普生品牌的晶振,而没有使用廉价的圆柱晶振。 7、核心板配有EEPROM,型号为AT24C08方便核心板进行数据存储。 8、电源稳压芯片采用的是rf级别的LDO为MCU的运行提供了良好的供电环境。 9、配有相应的优质2.54mm间距的双排排针,确保导电接触优良,方便用户将核心板放置到标准的的万用板或者面包板上。排针默认不焊接,用户可以根据自己的需要选择焊接方向。

资源简介: 有客户反映使用我们家STM32F407VET6\STM32F407ZGT6核心板,下载网上收集的程序后不能再次下载或运行也不是正常现象,这有可能是下载的程序时钟没有与我们核心板上的晶振进行匹配,例如有客户使用我们的407核心板下载了正点原子例程发现无法再次下载,是因为原子哥写的程序大部分运行在外部8M晶振上的,而我们晶振是25M.需要在程序方面稍微修改过几个地方就可以做到程序兼容,不必费劲修改过硬件晶振。 以下是修改以8M外部晶振编写程序改为适合外部晶振为25M修改方法。 修改的地方之一:stm32f4xx.h里面找到HSE_VALUE,具体#define HSE_VALUE ((uint32_t)8000000) ,现把它修改为#define HSE_VALUE ((uint32_t)25000000) (实际晶振对应的批量) 修改的地方之二:系统通过PLL倍频到168M,所以在配置PLL的时候,也需要作相应的修改。在system_stm32f4xx.c里,的配置为以下: #define PLL_M 8 需要把PLL_M由8修改为25(实际晶振频率对应数值),不然会超频到336M的主频,使STM32不能正常工作,常见表现为掉进HardFault_Handler()中。 其他晶振皆可以参考上述方法进行相应修改。 芯片简介: 1、STM32F407VET6 封装类型:LQFP; 引脚个数:100; 内核:Cortex?-M4;

EXCD1开发板使用手册

EXCD‐1开发板参考手册 北京中教仪装备技术有限公司 2010年3月

目录 1 概述 (1) 2 板上资源 (2) 3 使用说明 (3) 3.1使用前准备 (3) 3.2输入时钟 (4) 3.3LED,拨码开关和按键 (5) 3.47段数码管 (9) 3.5VGA接口 (10) 3.6RS232串口 (12) 3.7PS/2鼠标键盘接口 (13) 3.8I/O扩展接口 (14) 3.9SRAM和F LASH存储器 (16) 联系我们 地址:北京市西城区德外大街4号C座邮编:100120 客户服务热线:4006061700 传真 : 010- 58582440 销售Email : ECsales@https://www.doczj.com/doc/bf17958247.html, 技术支持Email:Ecservice@https://www.doczj.com/doc/bf17958247.html, 网址:https://www.doczj.com/doc/bf17958247.html,

1 概述 EXCD-1是一款易于使用的开发板,它能够实现大量基于FPGA 的数字系统。开发板采用Xilinx Spartan 3E FPGA 器件,板上资源丰富,有2Mbytes 的Flash 和1Mbytes 的快速SRAM ,以及各种输入输出设备,可以方便的设计具有各种功能的数字系统,也可设计含有Xilinx MicroBlaze 软核的嵌入式处理器系统。EXCD-1开发板有5个扩展接口,可灵活的扩展各种功能模块,如数模转换模块,模数转换模块,LCD 显示模块等。 VGA 接口RS232PRom PS2LEDs 晶振 图1-1 EXCD-1开发板

2板上资源 displays 4 buttons port2 图2-1 EXCD-1板上资源框图 1.Xilinx Spartan 3E XC3S500E PQ208 FPGA器件 z10,476 逻辑单元 z1,164 CLBs z73Kbits分布式RAM z360Kbits块RAMs z20个专用乘法器 z4个DCMs z158个用户I/O管脚 z PQ208管脚封装 2.时钟:50MHZ晶振输入 3.高速异步SRAM z512K × 16bits 4.Flash存储器 z1M ×16bits 5.配置Flash: XCF04S

相关主题
文本预览
相关文档 最新文档