当前位置:文档之家› 多功能数字电子钟PCB板的设计

多功能数字电子钟PCB板的设计

多功能数字电子钟PCB板的设计
多功能数字电子钟PCB板的设计

实验课程名称电子课程设计

实验项目名称多功能数字电子钟的设计

专业班级

学生姓名

学号

指导教师

绪论

20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。

电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本

次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。

多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费者的喜爱。

第一章、数字钟的基本原理

数字钟电路系统由主体电路和扩展电路两大部分组成,其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。

本电路主要由整荡器和分频器产生1s 的脉冲信号,用秒脉冲驱动“秒” 计数器,在“秒”计数器满60之后就产生进位信号,驱动“分”计数器计数。“分”、“秒”计数器分别为24进制和60进制的计数器,实际的“分”、“秒”计数器作为理想的“时”、“分”来进行实验,便于实现不同进制的计数器构造,同时也在有效的时间内看到进位效果。计数器使用74ls90来构造。最后进行译码和显示,在数码管上即可得到数字时钟的数值显示。其系统组成原理的框图如下: 振荡器 分频器 校时电路 校时电路

分频器 与非门 24进制

计数器 与非门

60进制 计数器 60进制 计数器 与

门 与 非门 译码驱动 译码驱动 译码驱动

显示器

显示器 显示器 时 分

第二章、Proteus 概述

Proteus软件的功能强大,它集电路设计、制版及仿真等多种功能于一身,不仅能够对电工、电子技术学科涉及的电路进行设计与分析,还能够对微处理器进行设计和仿真,并且功能齐全,界面多彩,是近年来备受电子设计爱好者青睐的一款新型电子线路设计与仿真软件。

Proteus是一个基于Pro-SPICE混合模型仿真器的、完整的嵌入式系统软硬件设计仿真平台。它包含ISIS和ARES应用软件。ISIS---智能原理图输入系统,系统设计与仿真的基本平台,ARES---高级PCB布线编辑软件。在Proteus中,从原理图设计、单片机编程、系统仿真到PCB设计一气呵成,真正实现了从概念到产品的完整设计。

Proteus的特点:

(1)、实现了单片机仿真和SPICE电路仿真结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。

(2)、支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8041系列、A VR系列、PIC12系列、PIC16系列、PIC17系列、Z80系列、HC11系列以及各种外围芯片。

(3)、提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。

(4)、具有强大的原理图绘制功能。总之,该软件是一款集单片机和SPICE 分析与一身的仿真软件,功能极其强大。

第三章、原理图设计

一、Proteus ISIS软件操作的简单介绍

(1)、打开Proteus ISIS软件

打开Proteus ISIS软件,如图1所示。

图1 Proteus ISIS的界面

(2)、文件的新建和保存

在Proteus ISIS 窗口中,选择【文件】→【新建设计】菜单项,弹出如图

2所示的对话框。

图2建立新的设计文件

选择合适的模板(通常选择DEFAULT模板),单击“OK”按钮,即完成新设

计文件的创建。选择【文件】→【保存设计】菜单项。将弹出如图3所示的对话

框。

图3 保存Proteus ISIS设计文件

在“保存在”下拉列表框中选择目标存放路径,并在“文件名”框中输入设计的文档名称。同时,保存文件的默认类型为“Design Files”,即文档自动加扩展名“.DSN”,单击“保存”按钮即可。

(3)、打开和保存设计文件

选择【文件】→【打开设计】菜单项,将弹出如图4所示对话框。

图4 打开Proteus ISIS 设计软件

在“查找范围”下拉列表框中选择目标查找路径,单击列表框中对应的设计选项,然后单击“打开”按钮,即可打开相应的设计文件。

保存打开的设计文件的方式与上述一致。选择【文件】→【保存设计】菜单项,在打开的保存对话框中单击“保存”按钮,即可保存文件。注意,在出现的保存对话框中,可以更改设计文件的名称及路径,也可使用默认的名称及路径来保存文件。

(4)、设置路径

选择【系统】→【设置路径】菜单项,即可打开路径设置对话框,如图5所示。

图5 路径设置对话框

(5)、设置键盘快捷方式

选择【系统】→【设置快捷键】菜单项,即可打开键盘快捷方式设置对话框,如图6所示。

图6 键盘快捷方式设置对话框

二、电路原理图设计

(1)、放置元器件

选择【库】→【拾取元件】菜单项,出现如图7所示的对话框,在其中添加元器件。

图7 元件拾取对话框

(2)、绘制原理图

Proteus ISIS 具有智能化的特点,在想要画线的时候能进行自动检测。

在两个元器件间进行连线的步骤如下:

A.单击第一个对象连接点。

B.如果想让Proteus ISIS自动定出走线路径,只需单击另一个连接点;如果想自己决定走线路径,只需在希望的拐点上单击。在此过程的任一阶段,都可以按“Esc”键放弃画线。按照上述步骤,连接后的原理图如图8所示。

图8 连接后的原理图

(3)、原理图的仿真

对该原理图进行仿真,其仿真结果如图9所示。

图9 原理图仿真结果图

(4)、网络表的生成

选择【工具】→【编译网络表】菜单项可以弹出一个对话框,如图10所示。在该对话框中可设置要生成的网络表的输出形式、模式、范围、深度及格式。在大多数情况下缺省设置就可以了。单击“OK”按钮,就会为设计中的所有页生成一个平面的物理连接的网络表,如图11所示。

图 10 编译网络表对话框

图11 编译网络表

(5)、元件报表

选择【工具】→【材料清单】菜单项,出现如图12所示的下拉列表,有四种形式的报表文件可供选择,并且可以根据需要分别生成如图13~16所示的报表文件。

图13与HTML Output选项对应输出的报表图12 材料清单菜单项

图14与ASCII Output选项对应输出的报表

图15 与Compact CSV Output选项对应输出的报表

图16 与Full CSV Output 选项对应输出的报表

第四章、电子钟的PCB设计

一、印制电路板(PCB)设计流程

印制电路板设计的一般步骤如下:

1、绘制原理图

这是电路板设计的先期工作,主要是完成原理图的绘制,包括生成网络表。当然,有时也可以不进行原理图的绘制,而直接进入PCB设计系统。原来用于仿真的原理图需将信号源及测量仪表的接口连上适当的连接器。另外,要确保每一个元器件都带有封装信息。

2、规划电路板

在绘制印制电路板之前,要对电路板有一个初步的规划,比如说电路板采用多大的物理尺寸,采用几层电路板,各元件采用何种封装形式及其安装位置等。这是一项极其重要的工作,是确定电路板设计的框架。

3、设置参数

参数的设置是电路板设计中非常重要的步骤。设置参数主要是设置元件的布置参数、层参数、布线参数等。一般说来,有些参数采用其默认值即可。

4、装入网络表及元件封装

网络表是电路板自动布线的灵魂,也是原理图设计系统与印制电路板设计系统的接口,因此这一步也是非常重要的环节。只有将网络表装入之后,才可能完成对电路板的自动布线。元件的封装就是元件的外形,对于每个装入的元件必须有相应的外形封装,才能保证电路板设计的顺利进行。

5、元件的布局

元件的布局可以让软件自动布局。规划好电路板并装入网络表后,可以让程序自动装入元件,并自动将元件布置在电路板边框内。当然,也可以进行手工布局。元件布局合理后,才能进行下一步的布线工作。

6、自动布线

如果相关的参数设置得当,元件的布局合理,自动布线的成功率几乎是100%。

7、手工调整

自动布线结束后,往往存在令人不满意的地方,需要手工调整。

8、文件保存及输出

完成电路板的布线后,保存完成的电路线路图文件。然后利用各种图形输出设备,如打印机或绘图仪输出电路板的布线图。

二、Proteus ARES软件的PCB设计

1、打开Proteus ARES软件

打开Proteus ARES软件,如图17所示。

图17 Proteus ARES的界面

2、为原件指定封装

为正确完成PCB设计,原理图的每一个元件,必须带有封装信息。在ISIS 软件中添加元器件时,多数已自动为元件配置了一个封装,但这个封装并不一定很适合你的设计。另外,有部分元件可能没有封装信息,因此就需要重新为元件添加合适的封装。

下面以原理图中的元件74LS90为例来说明,打开74LS90的属性对话框,如图18所示。封装好的元件74LS90如图19所示。

图18 元件属性对话框

图19 74LS90的封装及安装尺寸以此方法,类似地可以封装其他元件。

3、网络表的导入

生成网络表文件之后紧接的工作就是将网络表文件带入到ARES。单击菜单【工具】→【导出网络表到ARES】,这样系统会自动启动ARES,同时将网络表导入。如图20所示。

图20 ARES界面

4、系统参数设置

(1)、设置电路板的工作层

进入ARES并导入网络表之后,需要对PCB的工作层面进行设定。

A.设置电路板层数

选择【系统】→【设置板层使用】菜单项,弹出“设置板层使用”对话框,如图21所示。

图21

这里显示了电路板的14个内部层,然后单击“OK”确定,并关闭对话框。

B.设置层的颜色

选择【系统】→【设置颜色】菜单项,弹出“设置颜色”对话框,如图22所示。

图22 板层颜色设置

C.定义板层对

ARES系统可以将两个板层定义为一对,例如顶层和底层,这样在设计顶层时,可以用空格键将系统切换到底层,反之亦然。具体步骤如下:选择【系统】→【设置板层对】菜单项,弹出“编辑板层对”对话框,如图23所示。

图23编辑板层对对话框

(2)、环境设置

选择【系统】→【设置环境】菜单项,弹出“环境设置”对话框,如图24所示。

图24 环境设置对话框

(3)、网格设置

选择【系统】→【设置网格】菜单项,弹出“网格配置”对话框,如图25所示。可分别对英制和公制的网格尺寸进行设置。

图25 网格配置对话框

无论是公制还是英制,系统都提供了三种快捷方式对其尺寸可以进行实时调整,分别使用的是F2、F3和F4。

(4)、路径设置

选择【系统】→【设置路径】菜单项,弹出“设置路径”对话框,如图26所示。

图26路径设置对话框

5、布局与调整

Proteus软件提供自动布局和手工布局两种方式。在进行布局时,推荐使用自动布局和手工布局相结合的方式,即先使用自动布局,然后进行手工调整。

在自动布局之前需先画一个板框。在ARES左侧的工具箱中选择,从主窗

口底部左下角下拉列表框中选择黄色,在适当的位置画一个矩形,作为板框。如果以后想修改这个板块的大小,需要再次单击“2D Graphics Box”中的矩形符

数字电子钟设计说明

华南农业大学 电子线路综合设计 数字电子钟 班级:14电气类8班组别:4 指导教师: 2016年月

电子数字钟是一种用数字电路技术实现时、分、秒计时的装置,比机械式时钟具有更高的精确性。本次课程设计的电子数字钟,具有以下功能:用24进制,从00开始到23后再回到00,各用2位数码管显示时、分、秒(如23:52:45);可实现手动或自动的对时、分进行校正;计时过程具有报时功能,当时间到达整点前10秒进行报时,蜂鸣器响1秒停1秒地响5次。整个电路设计主要包括秒信号产生电路、时分秒计数电路、译码显示电路、时分的校正电路以及整点报时电路。 秒信号产生电路由石英晶体振荡器和分频器实现,将此信号接到秒计数器的信号输入端,在秒信号的驱动下,秒计数器向分计数器进位,分计数器向时计数器进位,最后通过译码器将计数器中的状态以时间的形式显示在数码管。整点报时电路由计时电路的输出状态产生脉冲信号送至蜂鸣器实现报时。校时电路加上一个脉冲送到时分计时器电路从而实现时和分的校整。 为了更好的完成本次课程设计,我们对题目进行了分析讨论,参考了很多相关的资料,同时考虑到实验室能提供的设备仪器及元件,确定了初步的设计方案;经过多次软件仿真,确定并完善了最终的设计方案。根据设计方案进行焊接、电子仪表检查、调试并测量电路的工作状态,排除电路故障,调整元件参数,改进电路性能,使之达到设计的指标和要求,做出成品。 关键词:晶体振荡器CD4060 CD4511 74LS90

1系统概述 (1) 1.1 设计任务和目的 (1) 1.2系统设计思路与总体方案 (1) 1.3设计方案选择 (1) 1.4总体工作过程 (2) 1.5各功能模块的划分和组成 (2) 2电路系统设计与分析 (4) 2.1秒信号的发生电路 (4) 2.2时、分、秒计数电路 (5) 2.3译码显示电路 (6) 2.4时、分校正电路 (7) 2.5整点报时电路 (8) 3电路的安装与调试 (9) 3.1安装调试的步骤 (9) 3.2电路软件仿真调式 (9) 3.3电路焊接及实物调式 (10) 3.4实验过程可能存在的问题 (10) 4实验数据和误差分析 (11) 5实验结论及分析 (11) 6实验收获、体会和建议 (12) 参考文献 (13) 附录1元器件清单明细表 (14) 附录2总原理接线图 (15) 附录3 电路焊接实物图 (16) 致 (17)

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

多功能数字电子钟的设计

学号20103010342 毕业设计说明书 设计题目多功能数字电子钟的设计 系部机械电子系 专业机电一体化 班级机电103 班 姓名关付玲 指导教师肖玉玲 2012年 10月 13日

摘要 摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时,整点报时和校时的功能。在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求! 关键词:计数器;译码显示器;校时电路;

Abstract Abstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words:Counter ,ten decoding display , citcuit Shool

数字钟电路pcb设计

¥ 摘要 本设计针对数字钟PCB板设计较为复杂的问题,利用国内知名度较高、应用最广泛的电路辅助设计软件protel99se进行了电路板的设计。本设计介绍了各部分电路的构成及准确完成了数字钟PCB电路板的设计。本设计数字钟原理图分析入手,说明了在平台中完成原理图设计,电气检测,网络表生成,PCB设计的基本操作程序。数字钟的主要电路是由电源电路、显示电路、校时电路、晶体振荡电路组成。PCB是电子元器件的支撑体,是电子元器件电气连接的提供者。PCB的设计是以电路原理图为根据,实现电路设计者所需要的功能。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。 关键词:数字钟;PCB;原理图;芯片 — 【

目录 前言 (1) 第一章@ 第二章绪论 (2) 数字钟的研究背景和意义 (2) 数字钟的发展和趋势 (2) 第二章系统电路的绘制 (3) 电路组成方框图 (3) 电路原理图制作 (3) 原理图环境设置 (4) 绘制原理图 (5) $ 电气规则检查及网络表输出 (7) 原理图分析 (10) 晶体振荡器 (10) 分频器 (11) 计数器电路 (12) 显示和译码电路 (12) 电源电路 (13) 第三章电路板PCB设计 (14) , PCB设计规范 (14) PCB设计流程 (17) 输出光绘文件 (21) PCB制件作 (23)

心得体会 (25) 参考文献 (26) 附图 (27) 附表 (28) "

前言 PCB(Printed Circuit Board),中文名称为印制线路板,简称印制板,是电子工业的重要部件之一。几乎每种电子设备,小到电子手表、计算器,大到计算机,通讯电子设备,军用武器系统,只要有集成电路等电子元器件,为了它们之间的电气互连,都要使用印制板。在较大型的电子产品研究过程中,最基本的成功因素是该产品的印制板的设计、文件编制和制造。印制板的设计和制造质量直接影响到整个产品的质量和成本,甚至导致商业竞争的成败。 Protel系列电子设计软件是在EDA行业中,特别是在PCB设计领域具有多年发展历史的设计界软件,由于其功能强大,操作简单实用,近年来成为国内发展最快。 Protel 99已不是单纯的PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和FPGA设计等,覆盖了以PCB为核心的整个物理设计。该软件将项目管理方式、原理图和PCB图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 随着计算机事业的发展,在信息化时代,电路设计中的很多工作都可以用计算机来完成。这样就大大减轻了设计人员的体力劳动强度,并且保证了设计的规范性准确性。而Protel99SE技术已越来越为人们所关注,人们利用protel99SE绘制各种原理图,进而制作出各种各样的科技产品已经成为当今世界的一个不可或缺的组成部分,所以说Protel99SE技术已越来越显得重要。

数字电子时钟设计

电子技术课程设计 数字电子时钟的设计 摘要: 设计一个周期为24小时,显示满刻度为23时59分59秒,具有校时功能和报时功能的电子钟。本系统的设计电路由时钟译码显示电路模块、脉冲逻辑电路模块、时钟脉冲模块、整电报时模块、校时模

块等部分组成。计数器采用异步双十进制计数器74LS90,发生器使用石英振荡器,分频器4060CD及双D触发器74LS74D,整电报时电路用门电路及扬声器构成。 一、设计的任务与要求 电子技术课程设计的主要任务是通过解决一,两个实际问题,巩固和加深在“模拟电子技术基础”和“数字电子技术基础”课程中所学的理论知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为以后从事生产和科研工作打下一定的基础。电子技术课程设计的主要内容包括理论设计、仿真实验、安装与调试及写出设计总结报告。衡量课程设计完成好坏的标准是:理论设计正确无误;产品工作稳定可靠,能达到所需要的性能指标。 本次课程设计的题目是“多功能数字电子钟电路设计”。要求学生运用数字电路,模拟电路等课程所学知识完成一个实际电子器件设计。 二、设计目的 1、让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统 的设计、安装、测试方法; 2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实 际问题的能力; 3、提高电路布局﹑布线及检查和排除故障的能力; 4、培养书写综合实验报告的能力。

三、原理方框图如下 1、图中晶体振荡电路由石英32.768KHZ及集成芯。 2、图中分频器4060BD芯片及D触发器构成分频器。 3、计数器由二——五——十73LS90芯片构成。 4、图中DCD_HEX显示器用七段数码显示器且本身带有译码器。 5、图中校时电路和报时电路用门电路构成。 四、单元电路的设计和元器件的选择 1、十进制计数电路的设计 74LS90集成芯片是二—五—十进制计数器,所以将INB与QA 相连;R0(1)、R0(2)、R9(1)、R9(2)接地(低电平);INA

简易电子闹钟的设计报告

编号:基础工程设计说明书 题目:LED强度可调驱动电路设计 院(系):电子工程与自动化学院 专业:光电信息科学与工程 学生姓名:李朝庭 学号: 指导教师:彭智勇 职称:高级实验师 2017年1 月1日

摘要 目前,LED 灯的亮度可调通过有两种可行方案:第一种是通过占空比电压来输出不同的电压,从而实现亮度可调;第二种通过控制数模转换器来输出不同的电流,然后经过放大器来进行发大,从而实现输出不同的电压,来实现亮度可调。对于第一种方案,优点是设计简单,且使用的电子器件类较少,造价成本低,其集成度低,电路原理不复杂,适于现代社会发展的需求。对于第二种方案,它设计图复杂,其集成度不高,且使用了数模转换器,因此和第一种设计方案相比略高。所以本设计采用了第一种方案。本设计的结果是设计制作一种路LED光强独立可调的 led 调光电路;自动调光时可使等在熄灭、微亮、较量及最亮四种状态中不断循环;实现灯光的循环调节功能(循环时间分别为为 2s、4s、6s、8s、10s、12s);关键词:LED;色温;RGB;驱动;调光 Abstract At the end of twentieth Century, the electronic technology has developed rapidly. In the promotion, the modern electronic products have penetrated almost all areas of the society. It has greatly promoted the development of social productive forces and the improvement of social information. Time is always so valuable to people, the work of the busy and complicated and easy to make people forget the current time. Forget to do, when it is not very important, this not hurt the important essentials. Simple electronic alarm clock is a used to after a certain period of time through the alarm sounds and wakes up the user a simple electronic circuit and is used to prevent nap sleep overdo the instrument from time to time to wake up the user's role. This system mainly consists of 555 timer square wave signal generator and the input control through the key input control of the two bit counter timing circuit can be input to the input of a time of the electronic clock, simulation and time interval can be within 99 seconds of continuous adjustable. Key words: timing circuit; 555 timer; multi harmonic oscillator; time clock 目录

多功能数字电子钟-数电课程设计

华南理工大学广州学院 数字电路课程设计报告 题目:多功能数字电子钟 专业:自动化 班级:一班 姓名:姚楸 同组队员:陈杰涛、姚楸、李卓鸿、刘志健、 吴壁文、陈孟鹏、黎杰豪、江泉河 学号: 201130087082 日期:2013年1月

一、设计目的 为了巩固课本所学知识,培养动手能力和实际解决问题的能力,加深对课 堂知识的理解和运用,进一步学习和熟悉各种常用芯片的规格和使用,能 掌握电路的组装和基本问题的排除。通过课程设计要实现以下两个目标:一、学生初步掌握电子线路的设计、组装及调试方法。即学生根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计要求和设计指标: a设计一个能显示时、分、秒的数字钟,显示时间从00:00:00到23:59:59; b设计的电路包括产生时基信号,时、分、秒的计时电路,显示电路。 c具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; d计时过程具有整点报时功能,当时间到达整点前10秒进行蜂鸣报时 三、总体框图设计 本设计通过555定时器产生1HZ的方波通过加法器进行计数, 计数后产生的BCD码通过译码器译码最后通过数码管显示出 来。

四、功能模块设计和原理说明 1、秒脉冲发生器 秒信号发生电路由集成电路555定时器与RC组成的多谐振荡器构成。555

基于VHDL的多功能数字钟设计报告

基于VHDL的多功能数字钟 设计报告 021215班 卫时章 02121451

一、设计要求 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒。 二、设计环境:Quartus II 三、系统功能描述 1、系统输入:时钟信号clk采用50MHz;系统状态及较时、定时转换的控制信号为k、set,校时复位信号为reset,均由按键信号产生。 2、系统输出:LED显示输出;蜂鸣器声音信号输出。 3、多功能数字电子钟系统功能的具体描述如下: (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按下“k”键恢复到正常计时显示状态。若校时过程中按下“reset”键,则系统恢复到正常计数状态。 (1)“小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz 闪烁,并按下“set”键时以2Hz的频率递增计数。 (2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (3)“秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。 (4)闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (5)闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (三)整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57”秒发频率为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的高音,结束时为整点。 (四)显示:采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组led灯以4位BCD 码显示。 (五)闹钟:闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为60秒。 四、各个模块分析说明 1、分频器模块(freq.vhd) (1)模块说明:输入一个频率为50MHz的CLK,利用计数器分出 1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。 (2)源程序: library ieee;

数字钟设计,protel仿真

摘要 数字钟由译码及显示电路单元,时间计数电路,单元校时电路单元,振荡电路单元组成。 该系统工作原理是:振荡器产生的稳定高频脉冲信号,为数字钟的时间基准,在经过分频器输出标准秒脉冲。 译码驱动及显示单元选择74LS48作为显示译码,选择LED数码管作为显示单元电路。时间计数单元分为时,分,秒的计数单元,基本都是采用74LS90芯片实现的。校时电路单元是先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。振荡器是数字钟的核心,振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,本电路选用由555构成的多谐振荡器,设其振荡频率为1KHZ,能很好的实现时钟功能。 用protel制作完原理图后,导入产生PCB板,然后运用Multism软件进行仿真,一个简单的仿真模型就展现在眼前了。 关键词:Protel、数字钟、仿真、Multism

目录 引言 (1) 1.数字钟电路设计 (1) 1.1 数字钟电路系统的组成框图 (1) 1.2译码驱动及显示单元 (2) 1.3时间计数单元 (3) 1.4校时电路 (6) 1.5振荡电路 (7) 1.6 整点报时电路 (8) 1.7总体电路 (9) 2.原理图设计 (11) 2.1建立工程文件、原理图文件,设置编辑环境 (11) 2.2元件摆放布局、绘制原理图 (11) 2.3电路图的检查 (12) 2.4生成材料清单和建立网络表 (13) 3.PROTEL PCB印制板电路 (14) 3.1 创建pcb板 (14) 3.2 加载网络表 (14) 3.3布局与布线 (15) 3.4 3D图展示效果 (18) 4.利用MULTISM软件对该电路仿真 (18)

数字时钟设计(完全数字电路)

数字时钟设计 姓名 学号 专业电子信息技术 指导教师 成绩 日期

基于555的数字时钟显示 摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,通过555定时器改装的多谐震荡器发出的脉冲频率具有一定的准确性。在这次设计中对分频器、计数器、、译码器和显示器进行研究编译,并完成了各种器件的编译工作,实现数字钟的功能。有准确计时,以数字形式显示时、分、秒的时间和校时功能。秒和校时功能都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示。 1引言 随着科技的快速发展,数字电子钟在实际生活中的应用越来越广泛,小到普通的电子表,大到航天器等高科技电子产品中的计时设备。数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有整点报时附加功能。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、报时电路和振荡器组成。作为电子技术的一名学生掌握并能够独立自主设计一个数字电子钟是必要和必须的,既可以加深对课本上理论知识的理解又能锻炼自己的思考和解决问题的能力。于是,经过查阅许多相关书籍和浏览许多网络未找到目录项。资源,我做了这款简单数字电子钟的设计。 2 方案论证 2.1 原理设计和功能描述 2.1.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,12进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 2.1.2 数字电子钟总体框架图

简易数字钟的设计

第三单元简易数字钟的设计 数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无需机械传动等优点。因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数字电子钟,数字钟到处可见。 在数字电路的学习中,已经学习过用计数器芯片搭建数字钟。51单片机内部集成了定时器/计数器,这为构建数字钟带来了方便。在本单元中,学习如何用51单片机来构建一个功能数字钟。 【任务要求】 在6个数码管上显示时、分、秒,共6位数字。 通过单片机内部定时器控制走时,走时准确。 系统有四个按键,功能分别是调整时间,加,减,确定。在按下调整键时候,显示“时”的两位数码管以1 Hz 频率闪烁。如果再次按下调整键,则“分”开始闪 烁,“时”恢复正常显示,依次循环,直到按下确定键,恢复正常的显示。在数码 管闪烁的时候,按下加或者减键可以调整相应的显示内容。按键支持短按和长按, 即短按时,所修改的数字每次增加1或者减小1,长按时候以一定速率连续增加或 者减少10。 【学习知识点】 数码管的原理,驱动程序的实现。 51单片机内部定时器的原理及应用 独立按键的原理及程序的实现。 【内容安排】 第一节:数码管显示原理及应用实现 第二节:独立按键检测原理及应用实现 第三节:计时的原理及实现 第四节:基于定时器的程序改进 第五节:数字钟的构建

第一节数码管显示原理及应用实现 1.1 数码管显示原理 数字钟要把时间显示到数字显示装置上,常用的数字显示装置有数码管、液晶、LED、CRT显示器等。在单片机系统设计中,LED数码管是最基本的显示装置。在数字钟的设计中我们用数码管对中的小时、分和表来进行显示。 LED数码管能显示各种数字或符号,由于它具有显示清晰、亮度高、寿命长、价格低廉等特点,因此使用非常广泛。图1.1是几个数码管的图片:a图为单位数码管, b图为双位数码管,c图为四位数码管。 a 单位数码管 b 双位数码管 c 四位数码管 图1.1 数码管图片 那么数码管是如何的工作呢?还记得我们小时候玩过的“火柴棒游戏”吗,几根火柴组合起来,可以拼成各种各样的图形,数码管实际上就是利用这个原理做成的。 图1.2 单个数码管引脚标号,共阴和共阳的内部连接图

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

简易数字钟的设计

电 子 技 术 课 程 设 计 专业:电气工程及其自动化 学号: 姓名: 指导老师:

简易数字钟的设计 【摘要】本次在对简易数字钟进行设计中,提出了两种整体设计方案,设 计过程中对两种方案不断进行尝试,不断比较,在比较两个方案的优缺点后, 选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各 个模块的结构,再对模块内部进行详细设计。在之后详细设计的时候又根据 可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合并调试。 【关键词】 电路,数字钟,74LS160,子电路 一、引言 随着社会的进步,科技发展的速度越来越快,科技产品更新的频率加大,而且当今很多领域大都用到数字钟,我们身边也遍布与数字钟有关的生活用品。。 所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。在做本简易数字钟设计之前,通过老师及查阅资料,我知道有对此多种设计方案 数字电路是我们计算机科学与技术学科的基础,数字电路实验是学习数字电路的一个重要环节,它不仅能巩固理论知识的学习,而其能提高实验动手能力,增强设计和调试电路的能力.设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、设计要求 能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。小时的计时要求为“12翻1”,分和秒的计时要求为60进位。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…

多功能数字钟的设计

《Protel应用》课程设计任务书 学生姓名:专业班级: 指导教师:黄铮工作单位:信息工程学院 题目:多功能数字钟的设计 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 一、训练内容和要求 1、绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。 2、绘制相应电路原理图的双面印刷版图*.pcb。对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。 3、基本动手能力和知识应用能力强化训练 1)学习PROTEL软件; 2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 二、初始条件 模电数电基本知识;计算机;MULTISIM 软件;PROTEL软件 时间安排: 6.13:理论设计 6.14~6.17:安装调试仿真 6.18~6.19:撰写报告 6.20:答辩 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 摘要 (1) Abstract (2) 1 设计要求 (3) 2.1脉冲产生电路 (3) 2.1.1振荡器的设计 (3) 2.1.2分频器的设计 (5) 2.2记时电路 (6) 2.2.1分秒记时部分设计 (7) 2.2.2时记时部分设计 (8) 2.2.3显示电路 (8) 2.2.3校准电路 (9) 2.2.3总体设计电路 (10) 2.2.3仿真验证 (11) 3 Protel的运用 (12) 3.1创建项目 (12) 3.2摆放元件 (14) 3.3绘制原理图 (15) 3.4更改元件属性 (16)

单片机原理课程设计基于AT89C52的电子时钟设计说明

单片机原理课程设计 题目: 基于AT89C52的电子时钟设计 姓名: 学院: 专业: 班级: 学号: 指导教师: 年月日 农业大学教务处制

aortiu 目录 摘要 (2) 关键词 (2) 引言 (2) 1设计要求与方案论证 (2) 1.1设计要求 (2) 1.2系统方案选择方案和论证 (2) 1.2.1单片机芯片的选择方案和论证 (2) 1.2.2 显示模块选择方案和论证 (3) 1.2.3 时钟芯片的选择方案和论证 (3) 2.系统的硬件设计与实现 (3) 2.1电路设计框图 (3) 2.2系统硬件概述 (3) 2.3主要单元电路的设计 (4) 2.3.1 单片机主控制模块的设计 (4) 2.3.2时钟电路模块的设计 (4) 2.3.3 键盘模块设计 (5) 2.3.4蜂鸣器模块的设计 (5) 2.3.5显示模块的设计 (5) 3.系统的软件设计 (6) 3.1程序流程框图 (6) 3.2程序的设计 (7) 4.系统调试 (7) 4.1软件调试 (7) 4.2硬件调试 (8) 4.3 实验箱调试结果 (8) 5.总结心得体会 (9) 附录一:系统程序 (9)

基于AT89C52的电子时钟设计 指导教师:吕成绪胡飞 摘要:单片机在电子产品中的应用越来越广泛,特别是51系列的单片机,由于其使用方便、价格低廉等优势,在市场上占有很大的份额。AT89C52就是51系列中的一个比较成熟的型号。本设计是一个多功能的实时时钟,带秒表、整点报时、闹铃、调整时间等功能。可按键直接设置闹铃时间。由AT89C51单片机、DS1302、LCD1602等模块组成。现代社会,时间就是金钱,时钟是每个人的必备品。本设计实现了所需功能,给大家带来方便,整体性好、人性化强、可靠性高,实现了时钟的多功能应用。 关键词:电子时钟;DS1302;LCD1602; 引言: 随着科技的快速发展,时间的流逝,从观太阳、摆钟到现在电子钟,人类不断研究,不断创新纪录。美国DALLAS公司推出的具有涓细电流充电能的低功耗实时时钟电路DS1302。它可以对年、月、日、周、时、分、秒进行计时,还具有闰年补偿等多种功能,而且DS1302的使用寿命长,误差小。对于数字电子时钟采用直观的数字显示,可以同时显示年、月、日、周日、时、分、秒和温度等信息,还具有时间校准等功能。该设计以AT89C51单片机作为核心,功耗小,能在3V的低压工作,电压可选用3~5V电压供电。 综上所述,此电子时钟具有读取方便、显示直观、功能多样、电路简洁、成本低廉等诸多优点,符合电子仪器仪表的发展趋势,具有广阔的市场前景。 1.设计要求与方案 1.1 设计要求: (1)启动时显示制作的年、月、日、制作者的学号等信息。 (2)24小时计时功能(精确到秒) (3)整点报时功能。 (4)秒表功能 (5)省电功能模式(未设计) 1.2 系统基本方案选择 1.2.1单片机芯片的选择方案和论证 方案一: 采用89C51芯片作为硬件核心,采用Flash ROM,部具有4KB ROM 存储空间,能于3V的超低压工作,而且与MCS-51系列单片机完全兼容,但是运用于电路设计中时由于不具备ISP在线编程技术, 当在对电路进行调试时,由于程序的错误修改或对程序的新增功能需要烧入程序时,对芯片的多次拔插会对芯片造成一定的损坏。 方案二: 采用AT89S52,片ROM全都采用Flash ROM;能以3V的超底压工作;同时也与MCS-51

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

数字电子钟--设计加详细说明(全)

中国……….. 电子技术课程设计总结报告题目:数字电子钟 学生姓名: 系别: 专业年级: 指导教师: 年月日

一、设计任务与要求 1、用单片机设计一个数字电子钟,采用LED数码管来显示时间。 2、显示格式为:XX:XX:XX,即:时:分:秒。 3、时间采用24小时制显示, 4、设置一个按键用于时间显示方式的切换,能进行时间的调整,可暂停时间的变动。.. 二、方案设计与论证 图1 系统整体框图 1、单片机芯片选择方案 方案一:AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器。主要性能有:与MCS-51单片机产品兼容、全静态操作:0Hz~33Hz、三级加密程序存储器、32个可编程I/O口线、三个16位定时器/计数器、八个中断源、全双工UART串行通道、掉电后中断可唤醒、看门狗定时器、双数据指针、掉电标识符、易编程。 方案二:AT89C52是一个低电压,高性能CMOS 8位单片机,片内含8k bytes 的可反复擦写的Flash只读程序存储器和256 bytes的随机存取数据存储器(RAM)。主要性能有:兼容MCS51指令系统、32个双向I/O口、256x8bit内部RAM、3个16位可编程定时/计数器中断、时钟频率0-24MHz、2个串行中断、可编程UART串行通道、2个外部中断源、6个中断源、2个读写中断口线、3级加密位、低功耗空闲和掉电模式、软件设置睡眠和唤醒功能。 从单片机芯片主要性能角度出发,本数字电子钟单片机芯片选择设计采用方案一。 2、数码管显示选择方案 方案一:静态显示。静态显示,即当显示器显示某一字符时,相应的发光二极管恒定导通或截止。该方式每一位都需要一个8 位输出口控制。静态显示时

可校准数字钟电路设计

可校准数字钟电路设计

————————————————————————————————作者:————————————————————————————————日期:

科信学院 课程设计说明书(2013/2014学年第二学期) 课程名称:电子技术课程设计 题目:可校准数字钟电路设计 专业班级: 学生姓名: 学号: 指导教师:崔春艳 设计周数:2周 设计成绩: 2014年7月4日

1、课程设计目的 用所学的数字电子技术,设计一个可校准数字时钟电路,当接入5V电源时能实现分秒的显示并且可以对时钟进行校准。 在设计正点时钟时要掌握数字时钟的工作原理和设计方法,学会用protel99SE软件操作实验内容,掌握设计性试验和转PCB的实验方法。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的应用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时序电路。通过它可以进一步学习和掌握各种组合逻辑电路与时序电路的原理和方法。 所需的器件:1块电路板(15cm×10cm) 4个共阴极数码管(LG5011AH); 4个CD4511BD集成芯片: 4个CD4510BE芯片; 1个74LS08N芯片, 1个74LS00芯片; 1个CD4050BE芯片; 1个74LS7474AN芯片; 4个200Ω电阻,2个10KΩ电阻,1个22MΩ电阻,16个0Ω电阻; 2个33μF电容; 1个32768Hz晶振; 若干导线。 2、课程设计正文 2.1软件方面设计 利用protel99SE对整体电路进行分析,设计出电路图,然后生成PCB图。

相关主题
文本预览
相关文档 最新文档