当前位置:文档之家› 频率计的设计报告

频率计的设计报告

频率计的设计报告
频率计的设计报告

综合设计报告

设计题目:频率表

1.频率计概述

数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号、方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。传统的频率计采用测频法测量频率,通常由组合电路和时序电路等大量的硬件电路组成,产品不但体积大,运行速度慢而且测量低频信号不准确。本次采用单片机技术设计一种数字显示的频率计,测量准确度高,响应速度快,体积小等优点[1]。

2.系统总体方案设计

2.1测频的原理

测频的原理归结成一句话,就是“在单位时间内对被测信号进行计数”。被测信号,通过输入通道的放大器放大后,进入整形器加以整形变为矩形波,并送入主门的输入端[3]。由晶体振荡器产生的基频,按十进制分频得出的分频脉冲,经过基选通门去触发主控电路,再通过主控电路以适当的编码逻辑便得到相应的控制指令,用以控制主门电路选通被测信号所产生的矩形波,至十进制计数电路进行直接计数和显示。若在一定的时间间隔T内累计周期性的重复变化次数N,则频率的表达式为式:

N

fx=

T

2.2具体模块

根据上述系统分析,频率计系统设计共包括四大模块:单片机控制模块、外围电路模块、显示模块。各模块作用如下:

2.2.1 单片机控制模块:以STC12C5A32AD单片机为控制核心,来完成它待测信号的计数,译码,和显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。单片机STC12C5A32AD内部具有2个16位定时/计数器,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时

中断要求的功能。单片机最小系统如图1:

图1:单片机最小系统

2.2.2 外围电路模块:整形电路是对一些不是方波的待测信号转化成方波信号,便于测量。如图2:

图2:外围电路

2.2.3 显示模块:数据经74LS595送出,显示电路采用四位共阴极数码管动态显示。如图3(四位数码管)、图4(74LS595):

图3:四位数码管 图4:74LS595

3.系统硬件电路设计

3.1 系统原理框图

本方案主要以单片机为核心,利用单片机的计数定时功能来实现频率的计

数并且利用单片机的动态扫描把测出的数据送到数字显示电路显示。如图5:

3.2 AT89S52的介绍

8位单片机是MSC-51系列产品升级版[5],有世界著名半导体公司ATMEL 在购买MSC-51设计结构后,利用自身优势技术——(掉电不丢数据)闪存生产技术对旧技术进行改进和扩展,同时使用新的半导体生产工艺,最终得到成型产品。与此同时,世界上其他的著名公司也通过基本的51内核,结合公司自身技术进行改进生产,推广一批如51F020等高性能单片机。

AT89S52片内集成256字节程序运行空间、8K 字节Flash 存储空间,支持最大64K 外部存储扩展。根据不同的运行速度和功耗的要求,时钟频率可以设置在

74LS595

P3.5 STC1

2C5A 32AD

数据

图5 系统原理框图

启动键

晶振电路

复位电路

四位位数码管

0-33M之间。片内资源有4组I/O控制端口、3个定时器、8个中断、软件设置低能耗模式、看门狗和断电保护。可以在4V到5.5V宽电压范围内正常工作。不断发展的半导体工艺也让该单片机的功耗不断降低。同时,该单片机支持计算机并口下载,简单的数字芯片就可以制成下载线,仅仅几块钱的价格让该型号单片机畅销10年不衰。根据不同场合的要求,这款单片机提供了多种封装,本次设计根据最小系统有时需要更换单片机的具体情况,使用双列直插DIP-40的封装。

3.1.1 AT89S52引脚

AT89S52引脚如下图6所示:

图6: AT89S52引脚图

3.2.2 复位电路及时钟电路

复位电路和时钟电路是维持单片机最小系统运行的基本模块。复位电路通常分为两种:上电复位(图7)和手动复位(图8)。

图7:上电复位图8:手动复位

有时系统在运行过程中出现程序跑飞的情况,在程序开发过程中,经常需要手动复位。所以本次设计选用手动复位。高频率的时钟有利于程序更快的运行,也有可以实现更高的信号采样率,从而实现更多的功能[6]。但是告诉对系统要求较高,而且功耗大,运行环境苛刻。考虑到单片机本身用在控制,并非高速信号采

样处理,所以选取合适的频率即可。合适频率的晶振对于选频信号强度准确度都有好处,本次设计选取12.000M无源晶振接入XTAL1和XTAL2引脚。并联2个30pF陶瓷电容帮助起振。单片机最小系统如图1所示。

3.2.3 引脚功能

VCC:电源电压; GND:地;

P0口:P0口是一个8位漏极开路的双向I/O口。作为输出口,每位能驱动8个TTL逻辑电平。对P0端口写“1”时,引脚用作高阻抗输入。当访问外部程序和数据存储器时,P0口也被作为低8位地址/数据复用。在这种模式下,P0

具有内部上拉电阻。在 flash编程时,P0口用来接收指令字节;在程序校验时,输出指令字节。程序校验时,需要外部上拉电阻[7]。

P1口:P1口是一个具有内部上拉电阻的8位双向I/O口,P1 输出缓冲器能驱动4个TTL 逻辑电平。对 P1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流。此外,P1.0和P1.2分别作定时器/计数器2的外部计数输入和定时器/计数器2的触发输入,P1口功能具体如表1所示。在flash编程和校验时,P1口接收低8位地址字节。

表1 P1口的第二种功能说明表

引脚号第二功能

P1.0 T2(定时器/计数器T2的外部计数输入),时钟输出

P1.1 T2EX(定时器/计数器T2的捕捉/重载触发信号和方向控制)

P1.5 MOSI(在系统编程用)

P1.6 MISO(在系统编程用)

P1.7 SCK(在系统编程用)

P2口:P2口是一个具有内部上拉电阻的8位双向I/O口,P2输出缓冲器能驱动4 个TTL逻辑电平。对P2端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流。在访问外部程序存储器或用16位地址读取外部数据存储器时,P2口送出高八位地址。在这种应用中,P2口使用很强的内部上拉发送1。在使用8位地址访问外部数据存储器时,P2口输出P2锁存器的内容。在flash编程

和校验时,P2口也接收高8位地址字节和一些控制信号。

P3口:P3口是一个具有内部上拉电阻的8位双向I/O口,P2输出缓冲器能驱动4个TTL逻辑电平。对P3端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流。P3口亦作为AT89C51特殊功能(第二功能)使用,P3口功能如表2所示。在flash编程和校验时,P3口也接收一些控制信号。

表2 P3口的第二种功能说明表

引脚号第二功能

P3.0 RXD(串行输入)

P3.1 TXD (串行输出)

P3.2

IN T0(外部中断0)

P3.3

(外部中断1)

P3.4 T0(定时器0外部输入)

P3.5 T1(定时器1外部输入)

P3.6

W R(外部数据存储器写选通)

P3.7

R D(外部数据存储器写选通)

RST:复位输入。晶振工作时,RST脚持续2个机器周期高电平将使单片机复位。看门狗计时完成后,RST 脚输出96个晶振周期的高电平。特殊寄存器AUXR(地址8EH)上的DISRTO位可以使此功能无效。DISRTO默认状态下,复位高电平有效。

XTAL1:振荡器反相放大器和内部时钟发生电路的输入端。

XTAL2:振荡器反相放大器的输出端。

3.2.4 单片机引脚分配

根据系统设计及各模块的分析得出,单片机的引脚分配如表3所示。

表 3 单片机端口分配表

模 块 端口

功能

显示模块

P0.0-P0.4、 P2.0-P2.7

数码管频率值显示 P1.4-P1.6 LED 单位显示 分频模块

P1.0-P1.2

通道选择 P1.3

清零

4.程序流程图与源程序

4.1 程序流程图

程序流程图如图9所示:

图9:程序流程图

4.2 主程序

送595

读取当前计

数值

重新启动T0、T1中断,重新

进行测量

结果显示

Y

N

Key=1

开始

#include

#include

#include

#include

/*************************************************

定义位变量

*************************************************/

sbit SCL=P0^3; //移位;

sbit RCL1=P0^1; //RCL1,RCL2位码锁存时钟;

sbit RCL2=P0^2;

sbit SDATA=P0^0; //数据位;

sbit key=P1^0;

/************************************************

定义数组

*************************************************/

Unsigned char code led1[ ]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0xf1,0x38, 0x39}; //0~9,r,l,c不带小数点;

/************************************************

段位代码宏定义

*************************************************/

#define k00 0x8000

#define k01 0x4000

#define k02 0x2000

#define k03 0x1000

#define k10 0x0800

#define k11 0x0400

#define k12 0x0200

#define k13 0x0100

#define k20 0x0080

#define k21 0x0040

#define k22 0x0020

#define k23 0x0010

unsigned char a[4]={0};

bit int_flag; //定时器0 1S到标志位

unsigned char volatile int_count=0; //定时器0中断次数unsigned char volatile T1count=0; //定时器1中断次数unsigned long sum; //1S内脉冲总个数

/************************************************

函数名:void delay()

出口参数:无

入口参数:无

功能:延时函数(100ms)

*************************************************/

void delay(void)

{

unsigned int l;

for(l=0;l<500;l++);

}

/************************************************

函数名: Data_Out(unsigned int n_LED,unsigned char Data_595) 出口参数:无

入口参数:n_led,data_595;段码及显示的数据

功能:发送数据并显示

*************************************************/

void Data_Out(unsigned int n_LED,unsigned char Data_595) {

unsigned char y;

RCL2=1; // 输出锁存时钟,上升有效

RCL1=1;

RCL2=0; //段码清零;

for(y=0;y<8;y++)

{

SCL=0; // 数据输入时钟,上升沿有效

SDATA=0;

SCL=1;

}

RCL2=1;

RCL1=0; //发送位选信号;

for(y=0;y<16;y++)

{

SCL=0;

if((n_LED&0x0001)==0x0001) { SDATA=1; }

if((n_LED&0x0001)!=0x0001) { SDATA=0; }

n_LED>>=1;

SCL=1;

}

RCL1=1;

RCL2=0; //发送段信号; for(y=0;y<8;y++)

{

SCL=0;

if((Data_595&0x80)==0x80) { SDATA=1; } if((Data_595&0x80)!=0x80) { SDATA=0; } Data_595<<=1;

SCL=1;

}

RCL2=1;

delay(); //延迟一会;

delay();

delay();

}

/*************数据分离************

函数名:Data_con()

功能:将所需结果按单个字符输出

************************************/

void Data_con(float x)

{

unsigned int result;

result=x;

a[3]=result%10; //低

a[2]=(result/10)%10;

a[1]=((result/10)/10)%10;

a[0]= (((result/10)/10)/10)%10;

}

/************************************************

函数名:xianshi1()

出口参数:无

入口参数:无

功能:给出显示的数据位码及段码 (频率显示)

*************************************************/

void xianshi1()//数据显示

{

Data_Out(k10,led1[a[3]]);

Data_Out(k11,led1[a[2]]);

Data_Out(k12,led1[a[1]]);

Data_Out(k13,led1[a[0]]);

}

/************************************************

/********定时器0初始化 ************

功能:设定初值

*************************************/

void init_t0(void)

{

TMOD=(TMOD&0xF0)||0x01; //定时器0工作于方式1 保存高4

位,低4位清零,再给低 0001

TH0=(65536-50000)/256; //定时50ms

TL0=(65535-50000)%256;

}

/******** 定时器1初始化 ********/

void init_t1(void)

{

TMOD=(TMOD&0x0F)|0x50; //timer 1 for count 高四位清零,在给0101 计数方式,方式1

TH1 =0x00;

TL1 =0x00;

}

/************************************************

函数名:主函数

出口参数:无

入口参数:无

功能:实现主要功能

*************************************************/

void main (void)

{ EA=1; //开总中断

init_t0(); //初始化定时器

init_t1();

TR0=1; //定时器开始工作

TR1=1;

ET0=1; //定时器TO溢出中断允许

ET1=1; //计数器T1溢出中断允许

while(1)

{

if(int_flag==1) //完成1秒计时,死循环,一只扫描是否完成1秒计时

{

int_flag=0;

sum=TL1+TH1*256; //+T1count*65536; //计算1秒内的脉冲个数

//以下将数据格式化,转成LED可显示的BCD码

Data_con(sum);

int_count=0x00;

T1count=0;

TH1=0x00; //将计数器TO的值清0

TL1=0x00; //将计数器T0的值清0

TR1=1;

}

xianshi1();

}

}

/********定时器0中断服务程序*****

功能: T0定时开启后,做+1,溢出后中断,

进入该程序,循环20次,即1S定时完成

**************************************/

void int_t0(void) interrupt 1

{

TH0=(65535-50000)/256;

TL0=(65535-50000)%256;

int_count++;

if(int_count==20)

{

TR1=0;

int_flag=1; //定时器 TO定时结束标志位

int_count=0x00;

}

}

5.设计心得

本次课程设计有较强的综合性,不仅要求设计者能灵活使用单片机,熟练使用单片计计数器和定时器,熟练编写顺序结构程序,循环结构程序以及分支结构程序,还要求对单片机的电路连接结构,对数码管管理芯片有明确清晰的了解与认识,否则在设计的第一步就会遇到障碍。掌握了C语言的编写程序,学会了使用PROTUTES和KEIL的仿真来实现,同时掌握了如何收集、查阅、应用文献资料,如何根据实际需要有选择的阅读书籍和正确确定系统所要使用的元器件的类型。而且在精神方面锻炼了思想、磨练了意志。面对存在的困难首先分析问题根据目的要求确定可实现的部分,定出那不准的方面找同学和老师讨论研究,再完善、再修改、再发现问题、再解决培养了自己的耐心、恒心及遇事不乱的精神。

看着自己亲自通过自己的知识和努力设计的频率计,心里是很欣慰的,因为我从这次课程设计不仅仅只是得到了一个自己的产品,还学到了很多,例如面对问题要保持冷静,特别是在程序的编写这一块,要找出程序的错误一定要有一个冷静的头脑,否则很难发现错误甚至是越改越错。另外我还认识到与他人合作的重要性,虚心向别人学习,吸取别人编写程序的一些好的风格与特点再融入到自己的程序中,都是一些不错的方法。在本次综合设计试验中我的很多方面的能力都得到了提高,尤其在单片机软件编程方面让我感触颇深。

最后,感谢在这次课程设计中王党树老师和孙广清老师及彭倩老师提供的指导和帮助!

单片机课程设计报告——智能数字频率计汇总

单片机原理课程设计报告题目:智能数字频率计设计 专业:信息工程 班级:信息111 学号:*** 姓名:*** 指导教师:*** 北京工商大学计算机与信息工程学院

1、设计目的 (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用: 包括放大器、滤波器、比较器、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 2、设计要求 (1)基本要求 设计指标: 1.频率测量:0~250KHz; 2.周期测量:4mS~10S; 3.闸门时间:0.1S,1S; 4.测量分辨率:5位/0.1S,6位/1S; 5.用图形液晶显示状态、单位等。 充分利用单片机软、硬件资源,在其控制和管理下,完成数据的采集、处理和显示等工作,实现频率、周期的等精度测量方案。在方案设计中,要充分估计各种误差的影响,以获得较高的测量精度。 (2)扩展要求 用语音装置来实现频率、周期报数。 (3)误差测试 调试无误后,可用数字示波器与其进行比对,记录测量结果,进行误差分析。 (4)实际完成的要求及效果 1.测量范围:0.1Hz~4MHz,周期、频率测量可调; 2.闸门时间:0.05s~10s可调; 3.测量分辨率:5位/0.01S,6位/0.1S; 4.用图形液晶显示状态、单位(Hz/KHz/MHz)等。 3、硬件电路设计 (1)总体设计思路

本次设计的智能数字频率计可测量矩形波、锯齿波、三角波、方波等信号的频率。系统共设计包括五大模块: 主芯片控制模块、整形模块、分频模块、档位选择模块、和显示模块。设计的总的思想是以AT89S52单片机为核心,将被测信号送到以LM324N为核心的过零比较器,被测信号转化为方波信号,然后方波经过由74LS161构成的分频模块进行分频,再由74LS153构成的四选一选择电路控制档位,各部分的控制信号以及频率的测量主要由单片机计数及控制,最终将测得的信号频率经LCD1602显示。 各模块作用如下: 1.主芯片控制模块: 单片机AT89S52 内部具有2个16位定时/计数器T0、T1,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。利用单片机的计数器和定时器的功能对被测信号进行计数。以AT89S52 单片机为控制核心,来完成对各种被测信号的精确计数、显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。 2.整形模块:整形电路是将一些不是方波的待测信号转化成方波信号,便于测量。本设计使用运放器LM324连接成过零比较器作为整形电路。 3.分频模块: 考虑单片机利用晶振计数,使用11.0592MHz 时钟时,最大计数速率将近500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。本设计使用的分频芯片是74LS161实现4分频及16分频。 4.档位选择模块:控制74LS161不分频、4分频或者 16分频,控制芯片是74LS153。 5.显示模块:编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示,本设计选用LCD1602。 (2)测频基本设计原理 所谓“频率”,就是周期性信号在单位时间(1s)内变化 的次数。若在一定时间间隔T内测得这个周期性信号的重复变 化次数N,则其频率可表示为f=N/T(右图3-1所示)。其中脉 冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等 。利用单片机的定时/计数T0、T1的定时、计数 于被测频率f x 功能产生周期为1s的时间脉冲信号,则门控电路的输出信号持图3-1

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

基于单片机的简单频率计课程设计报告

《单片机原理与接口技术》课程设计报 告 频率计

1功能分析与设计目标 0 2频率计的硬件电路设计 (3) 2.1 控制、计数电路 (3) 2.2 译码显示电路 (5) 3频率计的软件设计与调试 (6) 3.1软件设计介绍 (6) 3.2程序框图 (8) 3.3功能实现具体过程 (8) 3.4测试数据处理,图表及现象描述 (10) 4讨论 (11) 5心得与建议 (12) 6附录(程序及注释) (13)

1 功能分析与设计目标 背景:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。为了实现智能化的计数测频,实现一个宽领域、高精度的频率计,一种有效的方法是将单片机用于频率计的设计当中。用单片机来做控制电路的数字频率计测量频率精度高,测量频率的范围得到很大的提高。 题目要求: 用两种方法检测(△m ,△ T )要求显示单位时间的脉冲数或一个脉冲的周期。 设计分析: 电子计数式的测频方法主要有以下几种:脉冲数定时测频法(M 法),脉冲周期测频法(T 法),脉冲数倍频测频法(AM 法),脉冲数分频测频法(AT 法),脉冲平均周期测频法(M/T 法),多周期同步测频法。下面是几种方案的具体方法介绍。 脉冲数定时测频法(M 法):此法是记录在确定时间Tc 内待测信号的脉冲个数Mx ,则待测频率为: Fx=Mx/ Tc 脉冲周期测频法(T 法):此法是在待测信号的一个周期Tx 内,记录标准频率信号变化次数Mo。这种方法测出的频率是: Fx=Mo/Tx 脉冲数倍频测频法(AM 法):此法是为克服M 法在低频测量时精度不高的缺陷发展起来的。通过A 倍频,把待测信号频率放大A 倍,以提高测量精度。其待测频率为: Fx=Mx/ATo 脉冲数分频测频法(AT 法):此法是为了提高T 法高频测量时的精度形成的。由于T 法测量时要求待测信号的周期不能太短,所以可通过A 分频使待测信号 的周期扩大A倍,所测频率为: Fx=AMo/Tx 脉冲平均周期测频法(M/T法):此法是在闸门时间Tc内,同时用两个计数器分别记录

电子技术课程设计(数字频率计的设计)

一课程设计题目:数字频率计的设计 二、功能要求 (1)主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。 (2)率范围:分四1Hz~999Hz、01kHz~9.99kHz、1kHz~99.9kHz、10~999KHZ (3)周期范围:1ms~1s。 (4)用3个发光二极管表示单位,分别对应3个高档位。 三频率计设计原理框图 正弦波 数字频率计原理框图 1

测试电路原理:在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。改闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关。 被测信号 频率测量算法对应的方框图 四、各部分电路及仿真 1 整形电路部分 整形电路的目的是将三角波、正弦波变成方便计数的脉冲信号。整形电路可以直接用555定时器构成施密特触发。 本次设计采用555定时器,适当连接若干个电阻就可以构成触发器 图1-1 整形电路 将555定时器的THR和TR1两个输入端连在一起作为信号输入端,则可得到 显示电路 闸门产生 输入电路闸门计数电路

施密特触发器,为了提高其稳定性通常要在要在CON端口接入一个0.01uf左右的滤波电容。但使用555定时器的时候输入的电压应该要大于5V,本次设计直接用信号源来做输入信号,并且信号源的振幅为10V,没有用放大电路将信号放大。 2 时基电路 时基电路时用来控制闸门信号选通的时间,由于本次设计的频率计测试范围是0到999KHz,故时基信号要有1ms 10ms 100ms 1s,基于上述,还需要一个分频器分出不同的频率。设计过程如下:可用一个多谐振电路产生频率为1KHz的脉冲信号(即T=1ms),然后使用分频器产生10ms 100ms 1s。 多谐振电路可以采用555定时器或者晶体振荡器来完成。本次设计采用555定时器实现,本次设计的精确度要求比较低,而且555定时器组成的多谐振荡起的最高振荡频率只能最多1MHz,而我们将用555定时器产生1Kz的频率,满足在该范围之内。分频器采用10分频,可用74LS90或者74LS160。 图2-1555定时器构成的多谐振振荡器 555多谐振振荡器设计参数:设计一个震荡周期为1ms,输出的占空比 2 3 q

课程设计报告(频率计)

设计题目:数字频率计的设计与制作 一、课程设计的主要内容与目的 1. 主要内容:数字频率计的主要功能是测量周期信号的频率,频率是单位时间内信号 发生周期变化的次数,如果我们能在给定的1S时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来,这就是数字频率计的基本原理。 从数字频率计的基本原理出发,根据设计要求,得到如图1所示的电路框图。 图1 2. 设计目的:(1)掌握数字频率计的工作原理 (2)根据课程设计,熟悉一般产品设计的流程和方法。 (3)重点掌握数字频率计设计的计数部分。 二、主要技术指标 1.频率测量范围:10~9999HZ。 2.输入信号波形:任意周期信号,输入电压幅度>300mv. 3.电源:220V,50HZ。 系统框图中各部分的功能及实现方法 (1)电源与整流稳压电路 框图中的电源采用50Hz的交流市电。市电被降压、整流、稳压后为整个系统提供直流电源。系统对电源的要求不高,可以采用串联式稳压电源电路来实现。 (2)全波整流与波形整形电路 本频率计采用市电频率作为标准频率,以获得稳定的基准时间。按国家标准,市电的频率漂移不能超过0.5Hz,即在1%的范围内。用它作普通频率计的基准信号完全能满足系统的要求。全波整流电路首先对50Hz交流市电进行全波整流,得到如图2(a)所示100Hz的全波整流波形。波形整形电路对100Hz信号进行整形,使之成为如图2(b)所示100Hz的矩形波。波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。

简易数字频率计课程设计报告书

一、课题名称与技术要求 <1>名称:简易数字频率计 <2>主要技术指标和要求: 1. 被测信号的频率围100HZ~100KH 2. 输入信号为正弦信号或方波信号 3. 四位数码管显示所测频率,并用发光二极管表示单位 4. 具有超量程报警功能 二、摘要 以门电路,触发器和计数器为核心,由信号输入、放大整形、闸门电路、计数、数据处理和数据显示等功能模块组成。放大整型电路:对被测信号进行预处理;闸门电路:由与门电路通过控制开门关门,攫取单位时间进入计数器的脉冲个数;时基信号:周期性产生一秒高电平信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。 关键字:比较器,闸门电路,计数器,锁存器,逻辑控制电路 三、方案论证与选择 <1>频率测量原理与方法 对周期信号的测量方法,常用的有下述几种方法。 1、测频法(M法) 对频率为f的周期信号,测频法的实现方法,是用以标准闸门信号对被测信号的重复周期数进行计数,当计数结果为N时,其频率为:f1=N1/TG。TG为标准闸门宽度,N1是计数器计出的脉冲个数,

设在TG期间,计数器的精确计数值为N,根据计数器的技术特性可知,N1的绝对误差是△N1=N ±1,N1的相对误差为&N1=(N1-N)/N=(N±1-N)/N=±1/N,由N1的相对误差可知,N(或N1)的数值愈大,相对误差愈小,成反比关系。因此,在f已确定的条件下,为减小N1的相对误差,可通过增大TG的方法来降低测量误差。但是,增大TG会使频率测量的响应时间长。当TG为确定值时(通常取TG=1s),则有f=N,固有f1的相对误差:&f1=(f1-f)/f=(f±1-f)/f=±1/f 由上式可知,f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此,M法适合于对高频信号的测量,频率越高,测量精度也越高。 测频法原理图 2、测周法(T法) 首先把被测信号通过二分频,获得一个高电频时间和低电平时间都是一个信号周期T的方波信号;然后用一个已知周期的高频方波信号作为计数脉冲,在一个信号周期T的时间对此高频信号进行计数。若在T时间的计数值为N2,则有 T2=N2*Tosc f2=1/T=1/(N2* Tosc)= fosc/N2 N2的绝对误差为△N=±1 N2的相对误差为&N2=(N2-N)/N=(N±1-N)/N=±1/N 从T2的相对误差可以看出,周期测量的误差与信号频率成正比,而与高频你标准计数信号的频率成反比。当fosc为常数时,被测信号频率越低,误差越小,测量精度也就越高。

数字频率计的设计

数字频率计的设计 摘要:采用STC89C52RC单片机作为系统的核心控制器件,该系统采用直流供电,由信号输入模块、信号相加模块、滤波模块、信号比较器模块,电平转换模块组成,具有信号输入、测信号频率、测量矩形方波占空比的功能,并且具有测量精度高功耗低、抗干扰能力强等特点。

1 方案设计与比较

信号混合电路模块 方案一:同相加法器。加法器是一种数位电路,其可进行信号的加法计算。加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。同相加法器输入阻抗高,输出阻抗低反相加法器输入阻抗低,输出阻抗高当选用同相加法器时,如A输入信号时,因为是同相加法器,输入阻抗高,这样信号不太容易流入加法器,反而更容易流入B端,而影响到B端的正常使用;同样,如B输入信号时,容易流入A端,而影响到A端的正常使用。 方案二:反相加法器。当选用反相加法器时,因为加法器输入阻抗低,不管是A端,还是B端信号,更容易流入加法器,而不会影响其它路的正常使用。 综上所述选择方案一。 滤波电路模块 方案一:选用有源二阶切比雪夫高通滤波器。切比雪夫滤波电路在通带或阻带上频率响应幅度等波纹波动的滤波器。切比雪夫滤波器在过渡带比巴特沃斯滤波器的衰减快,但频率响应的幅频特性不如后者平坦。切比雪夫滤波器和理想滤波器的频率响应曲线之间的误差最小,但是在通频带内存在幅度波动,有可能有纹波波动导致电压达到施密特触发器的上限或下限出发电平,导致误触发,输出方波可能严重失真。 方案二:选用有源二阶巴特沃斯高通滤波器。巴特沃斯滤波电路的幅频响应在通带中具有最平幅度特性没有起伏,而在阻频带则逐渐下降为零,由于巴特沃斯滤波电路的幅频响应曲线很平滑,没有起伏,可以有效规避施密特比较器中的误触发,所以选用幅频响应曲线最平滑的巴特沃斯型滤波器,可以有效规避误触发。 综上所述选择方案二。

简易频率计课程设计

目录 1 技术要求及系统结构 (1) 1.1技术要求 (1) 1.2系统结构 (1) 2设计方案及工作原理 (2) 2.1 算法设计 (2) 2.2 工作原理 (3) 3组成电路设计及其原理 (6) 3.1时基电路设计及其工作原理 (6) 3.2闸门电路设计 (7) 3.3控制电路设计 (8) 3.4小数点控制电路 (9) 3.5整体电路 (10) 3.6 元件清单 (10) 4设计总结 (11) 参考文献 (11) 附录1 (12) 附录2 (17)

摘要 简易数字频率计是一种用四位十进制数字显示被测信号频率(1Hz—100KHz)的数字测量仪器.它的基本功能是测量正弦波,方波,三角波信号,有四个档位(×1,×10,×100,×1000),并能使用数码管显示被测信号数据,本课程设计讲述了数字频率计的工作原理以及其各个组成部分,记述了在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及在设计过程中的分析,以确保设计出的频率计成功测量被测信号。 关键词:简易数字频率计十进制信号频率数码管工作原理 1技术要求及结构 本设计可以采用中、小规模集成芯片设计制作一个具有下列功能的数字频率测量仪。 1.1技术要求 ⑴要求测量频率范围1Hz-100KHz,量程分为4档,即×1、×10、×100、×1000。 ⑵要求被测量信号可以是正弦波、三角波和方波。 ⑶要求测试结果用数码管表示出来,显示方式为4位十进制。 1.2 系统结构 数字频率计的整体结构要求如图1-1所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 图1-1 数字频率计系统结构框图 2 设计方案及工作原理 2.1 算法设计

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

数字频率计设计报告

数字电子技术课程设计 数字频率计的设计 姓名:杜昌波 学院:工学院 专业:电气工程及其自动化 学号:12100 505 指导教师:刘权吴敏 2014年06月04日

目录 目录 (2) 1 设计任务与要求 (3) 1.1 基本功能 (3) 1.2 扩展功能 (3) 2 设计原理 (3) 3 电路设计 (4) 3.1 整形电路 (4) 3.2脉冲波形产生及分频电路 (6) 3.3 闸门电路 (8) 3.4 计数电路 (9) 3.5 锁存显示电路 (11) 3.6 超量程报警显示电路 (12) 3.7 单稳态触发器电路 (13) 3.8 整机电路 (14) 3.9 说明 (15) 3.10 仿真结果 (15) 4 元器件清单 (19) 5 设计体会 (21) 参考资料 (22)

数字频率计的设计(第十组) 1 设计任务与要求 1.1 基本功能 1)能够测量正弦信号,矩形信号等波形的频率; 2)测量信号的频率范围为1HZ~100KHZ,分辨率为1HZ; 3)测量结果直接用十进制数值计数,通过五个数码管显示; 4)具有自较和测量两种功能; 5)测量误差小于5%; 6)多谐振荡器采用12M晶振电路,闸门用与门实现,显示用共阳极数码管。 1.2 扩展功能 1)分成四个频段,即1~99Hz,100~1KHz,1~10KHz,10~100KHz; 2)有超量程警告功能,当测量信号频率超过所选档位的量程时,频率计发出铃声警报。 2 设计原理 脉冲信号的频率就是在单位时间(1s)里产生的脉冲个数,若在一定时间间隔tw内测得这个周期信号的重复变化次数为N,则其频率可表示为: f=N/T 数字频率计的总体框图如图1所示: 图1

数字频率计_课程设计报告

电气与信息工程学院 数字频率计 设计报告书 前言 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的 测量就显得更为重要。测量频率的方法有多种,其中数字计 数器测量频率具有精度高、使用方便、测量迅速,以及便于 实现测量过程自动化等优点,是频率测量的重要手段之一。 其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐 述了设计了一个简单的数字频率计的过程。 关键词:频率计,闸门,逻辑控制,计数-锁存

目录 第一章设计目的 第二章设计任务和设计要求 2.1 设计任务及基本要求 2.2.系统结构要求 第三章系统概述 3.1概述 3.2设计原理及方案 第四章单元电路设计及分析 4.1 时基电路 4.2逻辑控制电路 4.3计数电路 4.4锁存电路 4.5显示译码电路 4.6 闸门电路 第五章安装与调试过程 5.1 电路的安装过程 5.2 电路的调试过程 5.3 出现的问题及解决办法 第六章结果分析 第七章收获与体会

第八章元件清单 第九章实现结果实物图 附录A 参考文献 第一章 设计目的: 1.了解数字频率计测量频率与测量周期的基本原理; 2.熟练掌握数字频率计的设计与调试方法及减小测量误 差的方法。 3.本设计与制作项目可以进一步加深我们对数字电路应 用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4.针对电子线路课程要求,对我们进行实用型电子线路设 计、安装、调试等各环节的综合性训练,培养我们运用课程中所学的理论与实践紧密结合,独立地解决实际问题的能力。

第二章 设计任务及要求: 2.1设计任务及基本要求: 设计一简易数字频率计,其基本要求是: 1)测量频率范围0~9999Hz; 2)最大读数9999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为4位十进制数显示; 5)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。 2.2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。 波形 整 形 计 数 器 数 码 显 示 振荡 电 路分 频 器 控 制 门 数 据 锁 存 器 显 示 译 码 器 被测 信 号

数字频率计的设计与实现课程设计

课程设计任务书 学生:专业班级:通信 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (6) 1.1.4方案确定 (7) 1.2 原理及技术指标 (8) 1.3 单元电路设计及参数计算 (9) 1.3.1时基电路 (9) 1.3.2放大整形电路 (10) 1.3.3逻辑控制电路 (11) 1.3.4计数器 (13) 1.3.5锁存器 (15) 1.3.6译码电路 (16) 2仿真结果及分析 (16) 2.1仿真总图 (16) 2.2单个元电路仿真图 (17) 2.3测试结果 (20) 3测试的数据和理论计算的比较分析 (20) 4制作与调试中出现的故障、原因及排除方法 (20) 4.1故障a (20) 4.2故障b (21) 4.3故障c (21) 4.4故障d (21) 4.5故障e (22) 5 心得体会 (22)

数字频率计课程设计报告

《数字频率计》技术报告 一、问题的提出 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速地跟踪捕捉到被测信号频率的变化。而频率计则能够快速准确的捕捉到被测信号频率的变化。 在传统的生产制造企业中,频率计被广泛的应用在生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。 二、解决技术问题及指标要求 1、技术指标

被测信号:正弦波、方波或其他连续信号; 采样时间:1秒(0.1秒、10秒); 显示时间:1秒(2秒、3秒......); LED显示; 灵敏度:100mV; 测量误差:±1H z。 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。一般T=1s,所以应要求定时器尽量输出为1s的稳定脉冲。 2、设计要求 可靠性:系统准确可靠。 稳定性:灵敏度不受环境影响。 经济性:成本低。 重复性:尽量减少电路的调试点。 低功耗:功率小,持续时间长。 三、方案可行性分析(方案结构框图) 1、原理框图

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

数字频率计设计报告

数字频率计设计报告 学院: 姓名: 学号: 专业: 指导老师: 2008-11-11

一.内容介绍 数字频率计是用来测量信号频率的装置。它可以测量正弦波、方波、三角波和尖脉冲信号的频率。在进行模拟、数字电路的设计、安装、调试过程中,经常要用到频率计。 由于其用十进制数显示,测量速度、精度高、显示直观,因此频率计得到广泛的应用。 二.设计内容、技术指标及框图 设计内容: 设计只用一只数码管显示结果的数字频率计。 技术指标: 1.被测量信号频率范围:1KHZ-999KHZ 2.测量精度:测量显示3位有效数字 3.时基时间宽度:1ms 4.测试和显示方法: (1)只用一只数码管显示结果。 (2)每2秒钟自动测试一次,按百、十、个、全灭的顺序逐位显示测试结果,每位的显示时间为0.5秒。 数字频率计的框图:如图1。 图1 频率计系统框图

三.单元电路设计 1. 时基产生电路 时基信号的产生电路可用石英晶体振荡器经分频后得到高稳定度的时基信号。图2采用CC4060十四级计数器构成0.5s脉冲(3)和毫秒脉冲1ms时基信号。12脚接地。 图2 秒脉冲和毫秒脉冲时基产生电路 2.节拍信号发生器 设计要求每2秒自动测试一次,按百、十、个、灭的顺序逐位显示测试结果。由此可知,节拍信号发生器需产生四种状态的变化,变化周期为2秒。四种状态信号可以提供给数据选择器的地址端,用来逐位显示百、十、个、灭,2秒的周期信号用来控制计数器计数,保持和清零。如图3。 节拍信号发生器

图3 节拍信号发生器及波形 3.整形电路 将输入的被测信号送入施密特触发器74LS132的输入端,其输入将得到矩形波至闸门输入如图4。 图4 整形电路 4.控制电路(门控电路) 要求控制器每2秒向主闸门输入一个时间为2秒,采样脉宽为1ms的周期信号,如图5。 采用2个D触发器,以时基信号T=1ms作为同步时钟脉冲。

相关主题
文本预览
相关文档 最新文档