当前位置:文档之家› 数字电子技术实验指导书2011

数字电子技术实验指导书2011

数字电子技术实验指导书2011
数字电子技术实验指导书2011

《数字电子技术》实验指导书

安阳工学院

电子信息与电气工程学院

实验要求

一、实验前必须充分预习,完成指定的预习任务。

二、用仪器和实验箱前必须了解其性能、操作方法及注意事项,在操作时应严格

遵守。

三、实验时接线要认真,相互仔细检查,确定无误才能接通电源。

四、实验时应注意观察,若发现有破坏性异常现象,例如元件冒烟、发烫或有异

味等,应立即关断电源,保持现场,报告指导老师。找出原因、排除故障,经指导老师同意后再继续做实验。

五、实验过程中需要改接线时,应关断电源后才能拆、接线,使用自锁紧插头时,

严禁用力拉线,拆线时,应手捏线端并旋转轻微向上用力拔起,以防线被拉断。

六、实验过程中要仔细观察实验现象,记录实验结果(数据、波形、现象)。所

记录的实验结果经指导老师审阅签字后再拆除实验线路。

七、实验结束后,必须关断电源、拔出电源插头,并将仪器、设备、工具、导线

等按规定整理。

八、实验后每个学生必须按要求独立完成实验报告。

数字电路实验箱使用说明

本实验箱可以完成数字电路课程实验,由实验板和保护箱组成。该实验箱的实验板采用独特的两用板工艺,正面贴膜,印有原理图及符号,反面为印制导线并焊有相应元器件,需要测量及观察的部分装有自锁紧式接插件,使用直观、可靠。

一、技术性能及配置

1、电源

输入 : AC220V 士 10 %。

输出 : DC 5V/1A 、 DC 1、25V ~ 15V/0、2A (两路) 有过载保护及自动恢复功能。

2、信号源

单脉冲:为消抖动脉冲,可同时输出正负两个脉冲,前后沿≤20ns ,脉冲宽度≤0、2μs ,脉冲幅值为 TTL 电平。

连续脉冲:两组,一组为 4 路固定频率的方波。其频率分别为 200KHZ 、100KHz、50KHz、25KHz 。另一组为: 1Hz~5KHz 连续可调方波,分二档由开关切换,两路输出均为 TTL 电平。

3、八组逻辑电平开关:可输出“O”、“1”电平。置于H时输出为+5V,置于L 时输出为0。

4、八位电平显示:由红色 LED 及驱动电路组成。当政逻辑“1”电平送入时LED 亮,反之不亮。

5、数码显示:由二位7段LED数码管及二一十进制译码器组成。

6、元件库:由开关、电位器、扬声器、二极管、阻容元件构成 , 其参数均在面扳上标明。

7、圆孔型双列直插式集成电路插座: 14脚10只,16只脚3只,20 脚 1 只。

二、电路原理

本实验箱有电源、信号源、电平指示、电平开关、数码管等部分组成。相应电路及器件在面板背面的印制电路板上。

三、使用方法

1、将标有220V的电源插入市电插座,接通开关,面板指示灯亮,表示实验箱电源正常工作。

2、连接线:实验箱面板上的插孔应使用专用的连接线,该连接线插头可叠插使用,顺时针向下旋转即锁紧,逆时针向下旋转即可松开。拔出时不要直接拉导线。

3、面板上IC插座均未接电源,实验时应按插入IC的引脚接好相应的电源线才能正常工作。

4、IC插入插座前应调整好双列引线间距,仔细对准插座后均匀压入,拔出时需用螺丝刀从旁边轻轻翘起。

5、实验时应先阅读实验指导书,在断开电源开关的状态下按实验线路接好连接线(实验中用到可调直流电源时,应在该电源调到实验值在接到实验电路中),检查无误后接通电源。

6、实验时要更改接线或元器件,应先关断电源开关,插错或多余的线要拔去,不能一端插在电路上,另一端悬空,防止碰到电路其他部分。

为保证实验顺利进行,要注意所有集成电路的使用规则,特别是对输入空端和多余电路单元要按规定接相应电平。

目录

实验一门电路逻辑功能测试 (1)

实验二组合逻辑电路设计 (5)

实验三译码器和数据选择器 (8)

实验四集成触发器的应用 (11)

实验五时序逻辑电路设计与分析 (14)

实验六 555集成定时器的应用 (16)

实验七集成计数器的应用 (18)

实验八 D/A和A/D转换电路 (20)

实验九四路优先抢答器设计 (23)

实验十交通控制器设计(PFGA) (24)

附录 (25)

实验一门电路逻辑功能及测试

一、实验目的

1.掌握集成门电路的逻辑功能和主要参数的测试方法。

2.熟悉数字电路实验箱及示波器使用方法。

3.学会如何使用集成门电路。

二、实验仪器及材料

1.双踪示波器

2.器件 74LS00 二输入端四与非门 2片

74LS20 四输入端双与非门 1片

74HC86 二输入端四异或门 1片

74LS04 六反相器 1片

三、预习要求

1. 复习门电路的工作原理及相应逻辑表达式。

2.熟悉所用集成电路的引线位置及各引线用途。

3. 了解双踪示波器使用方法。

四、实验内容

实验前按学习机使用说明先检查学习机电源是否正常。然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电实验。实验中改动接线须先断开电源,接好线后再通电实验。

1. 测试门电路逻辑功能

(1)选用双四输入与非门74LS20一只,插入面包板,按图1.1接线:输入端(第1、2、4、5管脚)接电平开关,输出端(第6管脚)接电平显示发光二极管(注意:74LS20第7管脚接地,第14管脚接电源)。

(2)将电平开关按表1.l置位,分别测输出电压及逻辑状态。

图1.1 74LS20功能测试图

2. 异或门逻辑功能测试

(1) 选二输入四异或门电路74HC86, 按图1.2接线,输入端1、2、4、5接电平开关,输出端A、B、Y接电平显示发光二级管。

图1.2 74HC86连接图

(2) 将电平开关按表1.2置位,将结果填入表中。

3.逻辑电路的逻辑关系

(1) 用74LS00,按图1.3接线,将输入输出逻辑关系分别填入表1.3中。 (2) 写出电路逻辑表达式。

图1.3 74LS00连接图

表 1.3

4.用与非门组成其它门电路

(1) 用一片二输入端四与非门74LS00组成或非门 ()'Y A B =+。

(2) 画出电路图,测试其功能并填表1.4。

表1.4

5.平均传输延迟时间t pd的测试(选做)

用六反相器74LS04按图1.4接线,观察电路输出波形,并测量反相器的平均传输延迟时间。设各个门电路的平均传输延迟时间为t pd,用奇数个非门环形连在一起,电路会产生一定频率的自激振荡。如果用示波器测出输出波形的周期T,就可以间接地计算出门电路的平均传输延迟时间:t pd=T/(2n),式中n是连接成环形的门的个数。

图1.4 奇数个非门连成振荡器

五、实验报告

1.按各步聚要求记录实验测得的数据、写表达式、画电路图。

2.回答问题:

(1) 怎样判断门电路逻辑功能是否正常?

(2) 与非门一个输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过?

(3) 异或门又称可控反相门,为什么?

实验二组合逻辑电路设计

一、实验目的

1.掌握组合逻辑电路的功能测试方法。

2.验证半加器和全加器的逻辑功能。

3.掌握组合逻辑电路的设计方法。

4.加深理解典型组合逻辑电路的工作原理。

二、实验仪器及材料

器件74LS00 二输入端四与非门3片

74HC86 二输入端四异或门1片

74LS54 四组输入与或非门1片

三、预习要求

1.预习组合逻辑电路的分析方法和设计方法。

2.预习用与非门和异或门构成的半加器、全加器的工作原理。

3.预习二进制数的运算。

四、实验内容

1.组合逻辑功能测试

(1)用两片74LS00组成图2.1所示的逻辑电路。

(2)A、B、C接开关电平,Y1、Y2接发光二极管电平显示。

(3)按表2.1要求,改变A、B、C的状态并填表,写出Y1、Y2表达式。

图2.1 74LS00组成的组合逻辑电路

表2.1

2. 半加器设计及功能测试

(1) 用与非门74LS00和异或门74HC86设计一个半加器。

(2)组装所设计的半加器电路,并验证其功能是否正确,填表2.2。 (3)写出输出与输入之间的逻辑表达式。

表2.2

3. 全加器设计及功能测试

(1) 用与非门74LS00和与或非门74LS54设计一个全加器。 (2)组装所设计的全加器电路,并验证其功能是否正确,填表2.

3。 (3)写出输出与输入之间的逻辑表达式。

表2.3

五、实验报告

1. 写出实验电路的设计过程,并按要求画出设计电路图。

2.记录所设计电路的实验结果,并与设计要求进行比较。

实验三 译码器和数据选择器

一、实验目的

1. 加深理解译码器和数据选择器的逻辑功能。

2. 掌握译码器和数据选择器的使用方法。 二、实验仪器及材料

1.双踪示波器

2.器件 74LS139 2—4线译码器 1片 74LS153 双4选1数据选择器 1片 74LS00 二输入端四与非门 1片 三、预习要求

1.熟悉74LS139和74LS153的功能及引脚排列。 2.根据实验相关内容,画出逻辑电路图。

3.用Multisim 软件对所设计的电路进行仿真,验证其功能。 四、实验内容

1. 译码器功能测试

将74LS139译码器按图3.1接线,按表3.1所示输入电平的状态分别置位相应的电平开关,填输出状态表。

1G

1A

1B

1Y 0

1Y 1

1Y 2

1Y 3

GND 2G 2A 2B 2Y 02Y 1

2Y 22Y 3V CC 74LS139

接电平

开关

接电平显示

12345678

9

11101213141516

图3.1 74LS139接线图

2. 译码器转换

将双2—4线译码器74LS139转换为3—8线译码器。 (1) 画出转换电路图。

(2) 组装所设计电路,并验证设计是否正确。 (3) 设计并填写该3—8线译码器功能表。 3. 数据选择器的测试及应用

(1) 将双4选1数据选择器74LS153参照图3.2接线,测试其功能并填写功能表3.2。

(2) 将实验箱脉冲信号源中固定连续脉冲4个不同频率的信号接到数据选择 器4个输入端,输出端1Y 接示波器,将选端择置位,利用示波器观察输出波形,填表3.3。

(3) 分析上述实验结果并总结数据选择器作用。

开关

图3.2 74LS153接线图

表3.3

4. 数据选择器转换

设计一个电路,将74LS153转换成8选1数据选择器。

(1) 画出转换电路图。

(2) 组装所设计电路,并验证设计是否正确。

(3) 设计并填写该8选1数据选择器的功能表。

五、实验报告

1. 画出实验要求的转换电路图。

2. 设计并填写实验所要求的功能表。

3. 总结译码器和数据选择的使用体会。

实验四 集成触发器的应用

一、实验目的

1.加深理解触发器的逻辑功能,掌握触发器的功能转换。 2.加深理解触发器的电平触发方式和边沿触发方式的特点。 3.学习集成触发器的应用。 二、实验仪器及材料

1.双踪示波器

2.器件 74LS00 二输入端四与非门 1片 74LS74 双D 触发器 1片 74LS112 双JK 触发器 1片 三、预习要求

1.熟悉74LS74和74LS112的功能及引脚排列。 2.根据实验相关内容,画出逻辑电路图。

3.用Multisim 软件对所设计的电路进行仿真,验证其功能。 四、实验内容

1.测试双D 触发器74LS74的逻辑功能 双D 触发器74LS74的逻辑符号如图4.1所示。

74LS74N 1D

2

1Q

5

~1Q

6

~1CLR

1

1CLK 3

~1PR

4

图4.1 74LS74逻辑符号

试按下面步骤做实验:

(1)分别在CLR′、PR′ 端加低电平,观察并记录Q 、Q′ 端的状态。 (2)令CLR′、PR′端为高电平,D 端分别接高,低电平,用点动脉冲作为CLK , 观察并记录当CLK 为O 、↑、1、↓时Q 端状态的变化。

整理上述实验数据,将结果填入下表4.1中。

表4.1

2. 测试双JK 触发器74LS112的逻辑功能

双JK 负边沿触发器74LS112芯片的逻辑符号如图4.2所示。 (1)分别在CLR′、PR′ 端加低电平,观察并记录Q 、Q′ 端的状态。 (2)令CLR′、PR′端为高电平,J 、K 端分别接高,低电平,用点动脉冲作为 CLK ,观察并记录当CLK 为O 、↑、1、↓时Q 端状态的变化。

整理上述实验数据,并将结果填入4.2中。

74LS112N 1Q

5

~1Q 6~1PR

41K

2

~1CLR

15

1J 31CLK 1

图4.2 74LS112逻辑符号

表4.2

3.触发器功能转换

(1) 将D触发器转换成JK触发器,列出表达式,画出实验电路图。

(2) 自拟实验数据表并填写之,比较两者关系。

4.触发器应用(选做)

用双D触发器74LS74设计一个单次脉冲发生器。该电路的功能要求是:在高频系列脉冲和手动脉冲的共同作用下,只要手动脉冲作用一次,不管手动脉冲的周期多长,电路只输出一个高频系列脉冲周期宽度的脉冲信号。画出电路连接图,并测试其逻辑功能。

五、实验报告

1.整理实验数据并填表。

2.写出实验电路的设计过程,并画电路图。

3.整理实验数据,并对结果进行分析。

4.总结各类触发器特点。

实验五时序逻辑电路设计与分析

一、实验目的

1.加深理解时序逻辑电路的工作原理。

2.掌握时序逻辑电路的设计方法。

3.学习时序逻辑电路的功能测试方法。

二、实验仪器及材料

1.双踪示波器

2.器件 74LS00 二输入端四与非门 1片

74LS175 四D触发器 1片

74LS112 双J-K触发器 2片

74LS11 三输入端三与门 1片

三、预习要求

1.熟悉所用集成电路的逻辑功能及引脚排列。

2.根据实验相关内容,画出逻辑电路图。

3.用Multisim软件对所设计的电路进行仿真,验证其功能。

四、实验内容

1.同步二进制加法计数器设计

(1)用2片双J-K触发器74LS112设计一个4位同步二进制加法计数器。

(2)验证所设计的同步二进制加法计数器功能,记录实验结果。

2. 同步十进制加法计数器设计

(1)用2片双J-K触发器74LS112和1片三输入与门74LS11设计一个8421BCD码的同步十进制加法计数器。

(2)验证所设计的同步十进制加法计数器功能,记录实验结果。

3. 环形计数器设计

(1)利用1片四D触发器74LS175设计一个环形计数器。

(2)将触发器的初始状态设置为1000,用单脉冲计数,观察各触发器的状态。

(3)采用连续脉冲计数,并将其中一个状态为“0”的触发器置为“1”(模

拟干扰信号作用的结果)。观察计数器能否正常工作。分析原因。

五、实验原理与说明

1. 时序逻辑电路的设计方法

(1)分析题意,确定输入、输出变量,画出状态转换图。

(2)进行状态化简,选定所需的状态数和触发器个数。

(3)状态分配,将所需状态用各触发器的输出组合来表示。

(4)列出初态到次态的状态转换以及实现转换对个触发器输入端的要求。

(5)求出个触发器的驱动方程和输出方程。

(6)画电路图。

(7)检查电路能否自启动。

2. 时序逻辑电路的功能测试

时序逻辑电路的功能测试可以通过数码管、LED来静态测试,也可以通过示波器来进行动态测试。以十进制加法计数器为例,其实验过程如下:(1)连接好电路,输出连数码管,用手控脉冲作为计数脉冲输入进行调试。

(2)触发器的输出连数码管模块的DCBA输入,计数脉冲采用1Hz的方波,观察数码管的显示结果。

(3)计数脉冲采用1KHz的脉冲信号,用示波器观察CLK端机4个触发器输出端的波形。

(4)检查电路能否自启动。在CLK脉冲未加入前,先将输出置成循环状态以外的无效态,然后再加入计数脉冲,观察电路能否进入有效循环状态。

六、实验报告

1.写出实验电路的设计过程,列出逻辑函数式,画出设计电路图。

2.记录所设计电路的实验结果,并与设计要求进行比较。

3.问题讨论:在用示波器观察时序逻辑电路的输出波形时,如何观察CLK 及各个输出地时序关系?

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字电子技术实验心得

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实

数字信号处理实验一

实验一 离散时间信号分析 班级 信息131班 学号 201312030103 姓名 陈娇 日期 一、实验目的 掌握两个序列的相加、相乘、移位、反褶、卷积等基本运算。 二、实验原理 1.序列的基本概念 离散时间信号在数学上可用时间序列)}({n x 来表示,其中)(n x 代表序列的第n 个数字,n 代表时间的序列,n 的取值范围为+∞<<∞-n 的整数,n 取其它值)(n x 没有意义。离散时间信号可以是由模拟信号通过采样得到,例如对模拟信号)(t x a 进行等间隔采样,采样间隔为T ,得到)}({nT x a 一个有序的数字序列就是离散时间信号,简称序列。 2.常用序列 常用序列有:单位脉冲序列(单位抽样)) (n δ、单位阶跃序列)(n u 、矩形序列)(n R N 、实指数序列、复指数序列、正弦型序列等。 3.序列的基本运算 序列的运算包括移位、反褶、和、积、标乘、累加、差分运算等。 4.序列的卷积运算 ∑∞ -∞==-= m n h n x m n h m x n y )(*)()()()( 上式的运算关系称为卷积运算,式中代表两个序列卷积运算。两个序列的卷积是一个序列与另一个序列反褶后逐次移位乘积之和,故称为离散卷积,也称两序列的线性卷积。其计算的过程包括以下4个步骤。 (1)反褶:先将)(n x 和)(n h 的变量n 换成m ,变成)(m x 和)(m h ,再将)(m h 以纵轴为对称轴反褶成)(m h -。

(2)移位:将)(m h -移位n ,得)(m n h -。当n 为正数时,右移n 位;当n 为负数时,左移n 位。 (3)相乘:将)(m n h -和)(m x 的对应点值相乘。 (4)求和:将以上所有对应点的乘积累加起来,即得)(n y 。 三、主要实验仪器及材料 微型计算机、Matlab6.5 教学版、TC 编程环境。 四、实验内容 (1)用Matlab 或C 语言编制两个序列的相加、相乘、移位、反褶、卷积等的程序; (2)画出两个序列运算以后的图形; (3)对结果进行分析; (4)完成实验报告。 五、实验结果 六、实验总结

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

数字信号处理实验

实验一 离散傅里叶变换(DFT )对确定信号进行谱分析 一.实验目的 1.加深对DFT 算法原理和基本性质的理解。 2.熟悉DFT 算法和原理的编程方法。 3.学习用DFT 对信号进行谱分析的方法,了解可能出现的误差及其原因,以便在实际中正确利用。 二.实验原理 一个连续信号)(t x a 的频谱可以用其傅里叶变换表示,即 dt e t x j X t j a a Ω-∞ ∞ -? = Ω)()( 若对)(t x a 进行理想采样可得采样序列 )(|)()(nT x t x n x a nT t a === 对)(n x 进行DTFT ,可得其频谱为: ∑∞ -∞ =-= n n j j e n x e X ωω )()( 其中数字频率ω与模拟频率Ω的关系为: s f T Ω = Ω=ω )(n x 的DFT 为∑∞ -∞ =-= n nk N j e n x k X π 2)()( 若)(t x a 是限带信号,且在满足采样定理的条件下,)(ω j e X 是)(Ωj X a 的周期延拓, )(k X 是)(ωj e X 在单位圆上的等间隔采样值,即k N j e X k X πωω2| )()(= =。 为在计算机上分析计算方便,常用)(k X 来近似)(ω j e X ,这样对于长度为N 的有限 长序列(无限长序列也可用有限长序列来逼近),便可通过DFT 求其离散频谱。 三.实验内容 1.用DFT 对下列序列进行谱分析。 (1))()04.0sin(3)(100n R n n x π=

1 (2)]0,0,0,0,0,0,0,0,1,1,1,1[)(=n x 2.为了说明高密度频谱和高分辨率频谱之间的区别,考察序列 )52.0cos()48.0cos()(n n n x ππ+= (1)当0≤n ≤10时,确定并画出x(n)的离散傅里叶变换。 (2)当0≤n ≤100时,确定并画出x(n)的离散傅里叶变换。 四.实验结果 1. (1) (2)

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

数字信号处理实验答案完整版

数字信号处理实验答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

实验一熟悉Matlab环境 一、实验目的 1.熟悉MATLAB的主要操作命令。 2.学会简单的矩阵输入和数据读写。 3.掌握简单的绘图命令。 4.用MATLAB编程并学会创建函数。 5.观察离散系统的频率响应。 二、实验内容 认真阅读本章附录,在MATLAB环境下重新做一遍附录中的例子,体会各条命令的含义。在熟悉了MATLAB基本命令的基础上,完成以下实验。 上机实验内容: (1)数组的加、减、乘、除和乘方运算。输入A=[1 2 3 4],B=[3 4 5 6],求C=A+B,D=A-B,E=A.*B,F=A./B,G=A.^B并用stem语句画出A、B、C、D、E、F、G。 clear all; a=[1 2 3 4]; b=[3 4 5 6]; c=a+b; d=a-b; e=a.*b; f=a./b; g=a.^b; n=1:4; subplot(4,2,1);stem(n,a); xlabel('n');xlim([0 5]);ylabel('A'); subplot(4,2,2);stem(n,b); xlabel('n');xlim([0 5]);ylabel('B'); subplot(4,2,3);stem(n,c); xlabel('n');xlim([0 5]);ylabel('C'); subplot(4,2,4);stem(n,d); xlabel('n');xlim([0 5]);ylabel('D'); subplot(4,2,5);stem(n,e); xlabel('n');xlim([0 5]);ylabel('E'); subplot(4,2,6);stem(n,f); xlabel('n');xlim([0 5]);ylabel('F'); subplot(4,2,7);stem(n,g); xlabel('n');xlim([0 5]);ylabel('G'); (2)用MATLAB实现下列序列: a) x(n)= 0≤n≤15 b) x(n)=e+3j)n 0≤n≤15 c) x(n)=3cosπn+π)+2sinπn+π) 0≤n≤15 d) 将c)中的x(n)扩展为以16为周期的函数x(n)=x(n+16),绘出四个周期。

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字信号处理实验三

实验三:离散LSI 系统的频域分析 一、实验内容 2、求以下各序列的z 变换: 12030() ()sin() ()sin()n an x n na x n n x n e n ωω-=== 程序清单如下: syms w0 n z a; x1=n*a^n;X1=ztrans(x1) x2=sin(w0*n);X2=ztrans(x2) x3= exp(-a*n)*sin(w0*n);X3=ztrans(x3) 程序运行结果如下: X1 =z/(a*(z/a - 1)^2) X2 =(z*sin(w0))/(z^2 - 2*cos(w0)*z + 1) X3 =(z*exp(a)*sin(w0))/(exp(2*a)*z^2 - 2*exp(a)*cos(w0)*z + 1) 3、求下列函数的逆z 变换 0 312342 1 1() () () ()() 1j z z z z X z X z X z X z z a z a z e z ω---= = = = ---- 程序清单如下: syms w0 n z a; X1=z/(z-a);x1=iztrans(X1) X2= z/(a-z)^2;x2=iztrans(X2) X3=z/ z-exp(j*w0);x3=iztrans(X3) X4=(1-z^-3)/(1-z^-1);x4=iztrans(X4) 程序运行结果如下: x1 =a^n x2 =n*a^n/a 课程名称 数字信号 实验成绩 指导教师 实 验 报 告 院系 信息工程学院 班级 学号 姓名 日期

x3 =charfcn[0](n)-iztrans(exp(i*w0),w0,n) x4 =charfcn[2](n)+charfcn[1](n)+charfcn[0](n) 4、求一下系统函数所描述的离散系统的零极点分布图,并判断系统的稳定性 (1) (0.3)()(1)(1) z z H z z j z j -= +-++ z1=[0,0.3]';p1=[-1+j,-1-j]';k=1; [b1,a1]=zp2tf(z1,p1,k); subplot(1,2,1);zplane(z1,p1); title('极点在单位圆外); subplot(1,2,2);impz(b1,a1,20); 由图可见:当极点位于单位圆内,系统的单位序列响应随着频率的增大而收敛;当极点位于单位圆上,系统的单位序列响应为等幅振荡;当极点位于单位圆外,系统的单位序列响应随着频率的增大而发散。由此可知系统为不稳定系统。 -1 -0.5 00.51 -2 -1.5-1-0.500.511.5 2Real Part I m a g i n a r y P a r t 极点在单位圆外 n (samples) A m p l i t u d e Impulse Response

数字逻辑实验指导书

《数字逻辑实验指导书》 实验一组合逻辑电路分析与设计 一、实验目的: 1、掌握PLD实验箱的结构和使用; 2、学习QuartusⅡ软件的基本操作; 3、掌握数字电路逻辑功能测试方法; 4、掌握实验的基本过程和实验报告的编写。 二、原理说明: 组合电路的特点是任何时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路的状态无关。 (一)组合电路的分析步骤: (二)组合逻辑电路的设计步骤 首先根据给定的实际问题进行逻辑抽象,确定输入、输出变量,并进行状态赋值,再根据给定的因果关系,列出逻辑真值表。然后用公式法或卡诺图法化简逻辑函数式,以得到最简表达式。最后根据给定的器件画出逻辑图。 三、实验内容 (一)组合逻辑电路分析: 1.写出函数式,画出真值表; 2.在QuartusⅡ环境下用原理图输入方式画出原理图,并完成波形仿真; 3.将电路设计下载到实验箱并进行功能验证,说明其逻辑功能。(必做)

(二)组合逻辑电路设计 1.设计一个路灯的控制电路,要求在四个不同的路口都能独立地控制路灯的亮灭。(用异或门实现) 画出真值表,写出函数式,画出实验逻辑电路图。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(必做) 要求:用四个按键开关作为四个输入变量;用一个LED彩灯(发光二极管)来显示输出的状态,“灯亮”表示输出为“高电平”,“灯灭”表示输出为“低电平”。 2.设计一个保密锁电路,保密锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两 个同时按下时,或按下A、B中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试设计此电路,列出真值表,写出函数式,画出最简的实验电路。(用最少的与非门实现)。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做) (注:取A、B、C三个键钮状态为输入变量,开锁信号和报警信号为输出变量,分别用F1用F2表示。设键钮按下时为“1”,不按时为“0”;报警时为“1”,不报警时为“0”,A、B、C都不按时,应不开锁也不报警。) 三、予习要求: 1.复习组合电路的分析方法和设计方法。 2.预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。 3.画出实验用电路图和记录表格,填好理论值,注明管脚号码。 四、报告要求: 1.实验目的和要求 2.实验主要仪器和设备 3.实验原理 4.实验方案设计、实验方法 5.实验步骤

数字电子技术实验-在线

数字电子技术综合实验指导书 实验一门电路功能测试及应用 一.实验目的 1.掌握了解TTL系列、CMOS系列外形及逻辑功能。 2.熟悉各种门电路参数的测试方法。 3. 熟悉集成电路的引脚排列 二、实验仪器及材料 a)东南大学在线实验平台-SEU远程实境实验平台数字逻辑电路实验 三.预习要求 1)复习门电路工作原理及相应逻辑表达式。 2)常用TTL门电路和CMOS门电路的功能、特点。 3)熟悉所用集成电路的引线位置及各引线用途。 四、实验原理及芯片外引线图、逻辑符号及逻辑图 1.TTL门电路 TTL门电路是数字电路中应用最广泛的门电路,基本门有与门、或门和非门。复合门有与非门、或非门、与或非门和异或门等。这种电路的电源电压为+5V,电源电压允许变化范围比较窄,一般在 4.5~5.5V 之间。高电平的典型值是3.6V(高电平≥2.4V合格),低电平的典型值是0.3V(低电平≤0.45V合格)。 对门电路的多余输入端,最好不要悬空,虽然对TTL门电路来说,悬空相当于逻辑1,并不影响与门、与非门的逻辑关系,但悬空容易接受干扰,有时会造成电路的误动作。不同的逻辑门,其多余输入端的处理有不同的方法。 ⑴TTL与门、与非门多余输入端的处理 TTL与门、与非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余输入端接高电平或通过串接限流电阻(大于或等于1KΩ)接V CC。实际使用中多采用把多余的输入端通过串接限流电阻接V CC的方法。其处理方法如图5-1所示。 (a) (b) (c) 图5-1 TTL与门与非门多余输入端的处理方法 ⑵TTL或门、或非门多余输入端的处理 TTL或门、或非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余的输入端接低电平或接地。 2.CMOS门电路 CMOS门电路具有输入电阻高、功耗小、制造工艺简单、集成度高、电源电压变化范围大(3~18V)、输出电压摆幅大和噪声容限高等优点,因而在数字电路中得到了广泛的应用。高电平的典型值是电源电压V DD,低电平的典型值是0V。由于CMOS门电路的输入电阻很高,容易受静电感应而造成击穿,使其损坏,因此使用时应注意以下几点:

数字信号处理实验4

数字信号处理实验四 第一题结果: (1)没有增加过渡点 源码如下: N = 15; H = [1 1 1 0.5 zeros(1,7) 0.5 1 1 1]; %确定抽样点的幅度大小 %H(3,13) = 0.75;H(5,11) = 0.25; %设置过渡点 k = 0:N-1; A = exp(-j*pi*k*(N-1)/N); %抽样点相位大小 HK = H.*A; %求抽样点的H(k) hn = ifft(HK,N); %求出FIR的单位冲激响应h(n) freqz(hn,1,256); %画出幅频相频曲线figure(2); stem(real(hn),'.'); %绘制单位冲激响应的实部 line([0,35],[0,0]);xlabel('n');ylabel('Real(h(n))'); 单位脉冲响应曲线 幅频和相频特性曲线

(2)增加过渡点 源码如下: N = 15; H = [1 1 1 0.5 zeros(1,7) 0.5 1 1 1]; %确定抽样点的幅度大小 H(3) = 0.75;H(13) = 0.75;H(5) = 0.25;H(11) = 0.25; %设置过渡点 k = 0:N-1; A = exp(-j*pi*k*(N-1)/N); %抽样点相位大小 HK = H.*A; %求抽样点的H(k) hn = ifft(HK,N); %求出FIR的单位冲激响应h(n) freqz(hn,1,256); %画出幅频相频曲线figure(2); stem(real(hn),'.'); %绘制单位冲激响应的实部 line([0,35],[0,0]);xlabel('n');ylabel('Real(h(n))'); 单位脉冲响应曲线 幅频和相频特性曲线 第二题结果:

数字电子技术实验指导书 新

数字电子技术基础 实验指导书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 北京印刷学院 1

北京印刷学院 信息与机电工程学院 信息工程系 《数字电子技术基础实验》 指 导 书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 电路教研室编 2

3 实验一 示波器的实验研究 一、实验目的与要求 1.掌握COS5020型或V —212E 型双踪示波器的使用方法 2.掌握用示波器测量脉冲波形主要参数的方法 3.熟悉TPE —D6数字电路学习机的使用 二、实验设备与器材 1.双踪示波器 2.数字电路学习机 三、实验内容与步骤 1.双线显示示波器内的CAL 信号 通过检验该信号的周期与幅度,熟悉示波器各旋钮的作用,并测量该信号的周期与幅度。 =CAL V =C A L T 2.示波器测量 用示波器测量数字电路学习机中CP 脉冲的周期(开关放在可调连续脉冲Ⅰ、Ⅱ位置,电位器顺逆时针旋转到底位置),以及该脉冲的逻辑高电平。 =I ax m V =I min T = ax m V = min T 3.观察与测量RC 网络对矩形波信号的响应 本实验所用的电路形式如图1-1所示。 图1-1 RC 实验电路 v I 为输入方波信号,其周期为T =0.1ms 。 (1)RC 微分电路 实验电路中的Z 1和Z 2分别是电容C 和电阻R ,元件参数按表1-1选取,观察与测量输出信号v O 的波形,并测量其脉冲宽度。 (2)RC 积分电路 实验电路中的Z 1和Z 2分别是电阻R 和电容C ,元件参数按表1-2选取,观察与测量输出信号v O 的波形,并测量其脉冲上升时间。 四、预习要求

数字逻辑实验指导书

数字电路是一门对实践性要求很强的专业课程,数字电路实验是一门验证 理论、巩固所学知识、根据所学知识进行简单应用的课程。实验操作有助于对 课程理论的掌握和理解,要求学生完成本课程后,能基本上验证基本数字逻辑 电路及器件的功能,能够独立的分析和设计基本的电路。为了实现这一目的, 要求在课程学习期间完成6-8个实验,实验应与课堂教学同步完成,具体内容 和要求见正文。 为了突出软件学院的特点,我院学生实验以虚拟实验为主,实施电路实验 采用实验室开放验证的方式。使用的虚拟实验软件是海军航空工程学院青岛分 院开发的《电工电子网上虚拟实验室》。 在整编本讲义过程中,得到了杨发宝、杨建庭等老师的多处指正,但是由 于时间仓促的原因,本实验讲义还是较为粗糙,在科学性、内容、文字等方面 还有诸多不够完善之处,请读者在使用过程中指出,以便在下次印刷时更正。 参考资料: 《数字电子技术基础(第四版)》高等教育出版社阎石 《数字逻辑 PPT课件》西安交通大学毛文林 《电工电子网上虚拟实验室》海军航空工程学院青岛学院 冷洪勇 2006.3.28

实验一基本逻辑门电路的逻辑功能测试------------------------------3 实验二组合逻辑电路的分析与设计----------------------------------6 实验三集成触发器------------------------------------------------9 实验四计数译码显示电路------------------------------------------13 实验五数据选择器------------------------------------------------18 实验六自激多谐振荡器--------------------------------------------20 实验七单稳与史密特触发器----------------------------------------23 实验八数/模模/数转换------------------------------------------29 实验九 555型集成时基电路----------------------------------------33 附录一数字电路仿真实验环境的操作指南----------------------------38 附录二实验使用相关芯片管脚定义图及功能真值表--------------------41

数字电子技术实验心得

数字电子技术实验心得 Document number:PBGCG-0857-BTDO-0089-PTT1998

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做 实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知 识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。

2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实验中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教老师,以及不懈的努力,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。这也是人生中美好的经历,让我感受到大学的更高一层次。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。 通信1403 万军

相关主题
文本预览
相关文档 最新文档