当前位置:文档之家› 基于AT89C51的篮球比赛计分器设计毕业设计论文

基于AT89C51的篮球比赛计分器设计毕业设计论文

基于AT89C51的篮球比赛计分器设计毕业设计论文
基于AT89C51的篮球比赛计分器设计毕业设计论文

嵌入式应用基础课程设计报告

基于AT89C51的篮球比赛计分器

课程设计

毕业设计(论文)原创性声明和使用授权说明

原创性声明

本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:日期:

指导教师签名:日期:

使用授权说明

本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:日期:

学位论文原创性声明

本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。

作者签名:日期:年月日

学位论文版权使用授权书

本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

涉密论文按学校规定处理。

作者签名:日期:年月日

导师签名:日期:年月日

注意事项

1.设计(论文)的内容包括:

1)封面(按教务处制定的标准封面格式制作)

2)原创性声明

3)中文摘要(300字左右)、关键词

4)外文摘要、关键词

5)目次页(附件不统一编入)

6)论文主体部分:引言(或绪论)、正文、结论

7)参考文献

8)致谢

9)附录(对论文支持必要时)

2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。

3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。

4.文字、图表要求:

1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写

2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画

3)毕业论文须用A4单面打印,论文50页以上的双面打印

4)图表应绘制于无格子的页面上

5)软件工程类课题应有程序清单,并提供电子文档

5.装订顺序

1)设计(论文)

2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订3)其它

摘要

随着单片机在各个领域的广泛应用,许多用单片机作控制的球赛计分器也应运而生,如用单片机控制LCD液晶显示器的计分器,用单片机控制LED七段显示器的计分器等等。

本次设计的篮球计分系统以AT89C51单片机为核心元件,通过七段共阴极LED 来显示比分。采用CD4094(8位移位存贮总线寄存器)进行串行口扩展显示器接口,并利用74LS21(双四输入与门)来实现比分的刷新功能。

关键词:篮球计分器;AT89C51;显示;矩阵键盘;LED数码管

目录

1前言 (1)

2系统方案设计 (2)

2.1 总体方案 (2)

2.1.1 设计内容 (2)

2.1.2 设计要求 (2)

2.2 系统组成 (3)

3 硬件设计 (4)

3.1 AT89C51的介绍 (4)

3.2 复位电路 (4)

3.3 键盘控制电路 (5)

3.4 显示电路 (6)

4 软件设计 (8)

4.1 主程序设计 (8)

4.2 键盘识别及处理程序设计 (9)

4.3 显示子程序设计 (9)

4.4 复位程序设计 (10)

5 系统调试 (12)

5.1 硬件接线及调试 (12)

6 总结 (13)

6.1 设计心得 (13)

参考文献 (15)

致谢 (16)

附录 (17)

1前言

体育比赛记分系统是对体育比赛过程中所产生的比分等数据进行快速采集记录,加工处理,传递利用的信息系统。根据不同运动项目的不同比赛规则要求,体育比赛的记分系统包括测量类,评分类,命中类,制胜类得分类等多种类型。

比如篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的记分系统是一种得分类型的系统。篮球比赛的记分系统由计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛记分系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。

由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家,测控技术企业,机电行业,竞相把单片机应用于产品更新,作为实现数字化,智能化的核心部件。篮球计分器就是以单片机为核心的计分系统。

2系统方案设计

2.1 总体方案

此计分器的设计采用模块化结构,主要由以下2个组成,即键盘模块、以及译码显示模块。以单片机为核心,配以一定的外围电路和软件,以实现比赛计分器的功能。它由硬件部分和软件部分组成。系统设计方案的硬件电路设计方框图如图2-1所示。

图2-1 硬件电路设计方框图

2.1.1 设计内容

(1)给甲、乙两队分别设置加分按钮,各按钮按下分别实现给甲、乙队加1、2、3分。

(2)给甲、乙两队分别设置减分按钮,各按钮按下分别实现给甲、乙队减1、2、3分。

(3)设置一个复位按钮,按下实现甲、乙队总分回到初试分及显示 (4)预置分通过甲、乙两队加分按钮实现。 2.1.2 设计要求

(1)方案合理、正确,系统稳定、可靠。 (2)软件设计要求尽可能精练、简短和运行可靠。 (3)硬件电路要求简单明了,以节约成本。

2.2 系统组成

硬件电路由复位按钮、80C51单片机、矩阵键盘和8位共阴极LED显示器等组成。

软件部分主程序主要由系统初始化段、键盘识别、键值处理、8位共阴极LED 显示器扫描显示子程序组成。

3 硬件设计

3.1 AT89C51的介绍

AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪烁可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除100次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,AT89C2051是它的一种精简版本。AT89C51单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

3.2 复位电路

为确保微机系统中电路稳定可靠工作,复位电路是必不可少的一部分,复位电路的第一功能是上电复位。一般微机电路正常工作需要供电电源为

5V±5%,即4.75~5.25V。由于微机电路是时序数字电路,它需要稳定的时钟信号,因此在电源上电时,只有当VCC超过4.75V低于5.25V以及晶体振荡器稳定工作时,复位信号才被撤除,微机电路开始正常工作。

目前为止,单片机复位电路主要有四种类型:

(1)微分型复位电路;

(2)积分型复位电路;

(3)比较器型复位电路;

(4)看门狗型复位电路

在此介绍一下看门狗型的复位电路:

看门狗型复位电路主要利用CPU正常工作时,定时复位计数器,使得计数器的值不超过某一值;当CPU不能正常工作时,由于计数器不能被复位,因此其计数会超过某一值,从而产生复位脉冲,使得CPU恢复正常工作状态。典型的看门狗型复位电路如图3-1所示:

图3-1 看门狗型复位电路

此复位电路的可靠性主要取决于软件设计,即将定时向复位电路发出脉冲的程序放在何处。一般设计,将此段程序放在定时器中断服务子程序中。然而,有时这种设计仍然会引起程序走飞或工作不正常。原因主要是:当程序“走飞”发生时定时器初始化以及开中断之后的话,这种“走飞”情况就有可能不能由看门狗复位电路校正回来。因为定时器中断一真在产生,即使程序不正常,看门狗也能被正常复位。为此提出定时器加预设的设计方法。即在初始化时压入堆栈一个地址,在此地址内执行的是一条关中断和一条死循环语句。在所有不被程序代码占用的地址尽可能地用子程序返回指令RET代替。这样,当程序走飞后,其进入陷阱的可能性将大大增加。而一旦进入陷阱,定时器停止工作并且关闭中断,从而使看门狗复位电路会产生一个复位脉冲将CPU复位。当然这种技术用于实时性较强的控制或处理软件中有一定的困难。

3.3 键盘控制电路

在键盘中按键数量较多时,为了减少I/O口的占用,通常将按键排列成矩阵形式。在矩阵式键盘中,每条水平线和垂直线在交叉处不直接连通,而是通过一个按键加以连接。这样,一个端口(如P1口)就可以构成4*4=16个按键,比之直接将端口线用于键盘多出了一倍,而且线数越多,区别越明显,比如再多加一条线就可以构成20键的键盘,而直接用端口线则只能多出一键(9键)。如图3-2所示。

图3-2 矩阵式键盘电路

需要的键数比较多时,采用矩阵法来做键盘是合理的。

矩阵式结构的键盘显然比直接法要复杂一些,识别也要复杂一些,上图中,列线通过电阻接正电源,并将行线所接的单片机的I/O口作为输出端,而列线所接的I/O口则作为输入。这样,当按键没有按下时,所有的输出端都是高电平,代表无键按下。行线输出是低电平,一旦有键按下,则输入线就会被拉低,这样,通过读入输入线的状态就可得知是否有键按下了。具体的识别及编程方法如下所述。

矩阵式键盘的按键识别方法

确定矩阵式键盘上何键被按下介绍一种“行扫描法”。行扫描法行扫描法又称为逐行(或列)扫描查询法,是一种最常用的按键识别方法,如上图所示键盘,介绍过程如下。

判断键盘中有无键按下将全部行线Y0-Y3置低电平,然后检测列线的状态。只要有一列的电平为低,则表示键盘中有键被按下,而且闭合的键位于低电平线与4根行线相交叉的4个按键之中。若所有列线均为高电平,则键盘中无键按下。

判断闭合键所在的位置在确认有键按下后,即可进入确定具体闭合键的过程。其方法是:依次将行线置为低电平,即在置某根行线为低电平时,其它线为高电平。在确定某根行线位置为低电平后,再逐行检测各列线的电平状态。若某列为低,则该列线与置为低电平的行线交叉处的按键就是闭合的按键。

3.4 显示电路

显示器采用两个四位共阴极LED显示器,来实现显示器的动态扫描,八个二极管连接一个阴极的结构,只要另一段为高电平,二极管就会发光,从而形成一段。

将八段顺序排列后就成为具有一定编码的共阴显示器了。动态扫描的频率有一定的要求,频率太低,LED将出现闪烁现象。如频率太高,由于每个LED点亮的时间太短,LED的亮度太低,肉眼无法看清,所以一般均取几个ms左右为宜,这就要求在编写程序时,选通某一位LED使其点亮并保持一定的时间,程序上常采用的是调用延时子程序。

4 软件设计

4.1 主程序设计

主程序主要由系统初始化段、开中断、键盘识别、键值处理、两个4位共阴极LED显示器扫描显示子程序和中断处理子程序等组成。通过对以上各段和子程序的结合,以实现系统功能。该系统主程序流程图如下图4-1所示。

图4-1 主程序流程图

4.2 键盘识别及处理程序设计

按键识别及处理程序主要由键盘识别和键值处理组成。其中键盘识别子程序不断地对键盘进行判断是否有键按下。当有键按下时则转到键码处理即甲、乙总分处理子程序对相应按键进行相应处理,即可实现对甲、乙两队总分的计算与处理。

键盘识别即依次判断第一列、第二列、第三列、第四列是否有键按下。如果有键按下,先得出列,再反向赋值得出行,最后得出所在行和列的位置。

键值处理即先定义各按键,再对按键值进行保存,通过判断键值来判断分别对甲队或是乙队加减分,最后显示出甲队、乙队得分。甲、乙总分处理子程序流程图如下图4-2所示。

图4-2 键值处理子程序流程图

4.3 显示子程序设计

由于该系统使用的是8位LED显示器显示计分器比分,因此显示采用动态扫描显示方法,即由显示器扫描显示子程序控制显示器逐个循环从左至右依次点亮各个显示器。这样虽然在任一时刻只要一个显示器点亮,但是由于人眼具有视觉残留效应,看起来与全部显示器持续点亮效果一样。显示器扫描显示子程序显示每一位用如图4-3所示流程方法完成。

图4-3 显示子程序流程图

4.4 复位程序设计

在矩阵键盘中设置相应的复位按键,当识别到复位按键按下时,执行复位程序。

在主程序运行过程中,只要系统识别到与单片机引脚相连的按键按下,程序则会转去执行复位程序,完成对甲、乙总分的清零,与此同时也就使显示器各位计数同时也清零,执行完毕后,返回主程序,等待识别下一个按键。流程图如4-4所示。

复位按键的存在,保证了计分器能的多次使用,当比赛结束后,通过按键复位到初始状态,继续进行下一场比赛。

图4-4

复位程序流程图

5 系统调试

5.1 硬件接线及调试

接线是设计中最关键的一步,我的接线原则是从全局出发,逐个模块连接调试,最后总体调试。

逐个模块接线再调试,可以为总体调试省去很多时间,只要每个模块正常运行,几个模块通过地址总线连接之后,结果也就接近了。

调试前的直观检查:

检查连线是否正确,在通电之前应先检查一下电路的连线是否正确,包括错线,少线和多线的情况。根据课程设计的需求所写出的程序检查接线是否正确。这是一种以元件为中心进行查线的方法。把每个元件引脚的连线一次查清,检查每个接线处在程序中是否出现,这种方法不但可以查出错线和少线,还容易查处多线的情况。

在接线时应该注意数码管段选、片选连线的顺序,防止出现接反的状况,同时对矩阵键盘也要检查是否正确,是否能正常使用,是否按照实验要求的顺序接线。

2、元器件安装时情况

检查元、器见引脚之间有无短路;连接处有无接触不良。

模拟调试结果记录如下:通电后,显示器初始显示“000--000”。按照键盘分布说明按键,分布给甲、乙加1分、2分和3分,显示器跟踪显示出总分,然后模拟比赛细节,随意给甲、乙加分或是减分,均达到预期效果。在甲、乙均有一定总分的情况下对甲、乙进行减分处理,当减到0后便不能够再进行减分操作,与程序设计相符。在甲、乙均有一定总分的情况下,按清零按钮,显示结果清零。重复进行上面操作,结果均符合设计要求。

6 总结

6.1 设计心得

通过这次的课程设计,我对一些专业的电子设计有了更深的了解,同时也尝试着去应用自己所掌握的知识。这次课程设计主要是对电路的设计,对单片机开发板的应用,对相关应用软件的操作的一个综合性的考核。经过这次的课程设计,让我受益颇多,同时也明白自己还有很多的不足之处,明白自己应该在以后的学习生活中更加虚心学习,在学习生活中也不应该仅仅只是注重理论上的学习,结合本专业的情况而言,实践能力与理论知识是同等重要的。都说理论与实践相结合,理论源于实践,实践是理论的应用与发展,因此,我们更应该注重平时的实践能力,丰富自己,也丰富人生。

课程设计不仅给我们提供了一个很好的展现和应用自己所学知识的平台,而且给检验自己所学的知识和实现知识价值能力提供了一个机会。

在设计过程中不可避免的会遇到很多的问题,诸如接线问题、调试问题等,看似很简单的电路,要动手把它给设计出来,其实是一件十分不容易的事,主要原因是我们没有经常动手设计过电路,平时都是直接用查阅到的电路或者依靠书本,根本没有通过自己的努力去设计过电路。尤其是在最后调试部分,会因为各种原因而无法得到设计的结果,由于单片机系统的知识似懂非懂,而且很多知识当时弄明白了,现在要用的时候又不记得,造成需要花费大量的时间去重新查阅各种资料和程序命令,但一旦问题解决了,就会豁然开朗。

在这次课程设计中,我在整个设计过程中懂得了许多东西,也培养了独立思考和设计的能力,树立了对知识应用的信心,相信会对今后的学习工作和生活有非常大的帮助,并且提高了自己的动手实践操作能力,使自己充分体会到了在设计过程中的成功喜悦。虽然这个设计做的并不是那么完美,但这是经过自己的努力得到的成功,想想也是知足的了,但是更加重要的是在设计过程中所学到的东西是这次课程设计的最大收获和财富。

通过本次课程设计我受益匪浅,不仅使我学会了用单片机编写程序的方法,而且对学过的相关知识得到了进一步的巩固和提高,通过阅读相关专业书籍掌握了一

些曾经模糊的知识以及自己并未在课本上学到的知识;同时也熟悉了实验设备,对本专业的学习方案有了更深刻的认识,也让我明白了,我们专业是很重视学生的动手能力的,真正的实践能力会为我们带来很多意想不到的机会。更重要的是,在我学习和掌握这些知识的同时,能够在思维上得到提高和升华,提高了自己思维能力和对实验的热爱,让我觉得实验的方方面面都是十分有趣的。这次课程设计使我完善了知识结构、拓宽了知识面,开阔了眼界、提高了对各科知识综合应用的能力;同时也锻炼和培养了我的基本素质,它使我更加耐心、谨慎、细致。让我学会在以后的学习生活里应该以积极的态度面对困难,因为我知道,解决了困难的欣喜是无可替代的。

做任何事情其实需要的不仅仅是能力,更应有信心。只有自己相信了自己,别人才会相信你。这次课程设计其实本质上并不困难,看似很难的事,其实往往是我们把它夸大了。迎面面对生活中的挫折,坚强与努力,信心与勇气,最终会让一个人得到成功的果实的。这次的课程设计给我的不只是知识上的巩固与提升,也许让我学到的远远不是这些。

基于单片机的篮球计分器设计毕业设计

摘要 随着电子科技、信息通信技术的快速发展,嵌入式单片机应用越来越广泛。在HMOS技术大发展的背景下,Intel公司在MCS-48系列的基础上,于1980年推出了8位MCS-51系列单片机。它与以前的机型相比,功能增强了许多,就其指令和运行速度而言,超过了INTEL8085的CPU和Z80的CPU,成为工业控制系统中较为理想的机种。较早的MCS-51典型时钟为12MHz,而目前与MCS-51单片机兼容的一些单片机的时钟频率达到40MHz甚至更高,现在已有400MHz的单片机问世。篮球记分器是一种得分记录工具,由多种电子设备组成。以单片机为核心的篮球记分器造价便宜,使用简单,体积小等特点。

第1章绪论 1.1 单片机简述 单片机就是在一块半导体硅片上集成了微处理器(cpu),存储器(RAM,ROM,EPROM)和各种输入、输出接口(定时器/计数器,并行I/O接口,串行口,A/D转换器以及脉宽调制器PWM等),这样一块集成电路芯片具有一台计算机的属性,因此被称为单片微型计算机,简称单片机。 单片机主要应用于测控领域,用以实现各种测试和控制功能。为了强调其控制属性,在国际上,多把单片机称为微控制器MCU(MicroController Unit)。单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机的优点是体积小、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易。例如,80C51系列单片机已有十多年的生命期,如今仍保持着上升的趋势,就充分证明了这一点。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机——AT89系列单片机。他不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点,此次设计中所用到的AT89C51就是其中典型的代表。 1.2 设计意义 单片机的应用是具有高度现实意义的。单片机极高的可靠性,微型性和智能性(我们只要编写不同的程序后就能够完成不同的控制工作),单片机已成为工业控制领域中普遍采用的智能化控制工具,已经深深地渗入到我们的日常生活当中。通过此次基于单片机设计的篮球计时计分系统,我们可以更清楚详细的了解单片机程序设计的基本指令功能、编程步骤和技巧来讲述单片机编程,并对MCS-51单片机的结构和原理进行讲述,以及基于单片机开发应用的相关芯片的工作原理,并且可以在将来的工作和学习中加以应用。

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

篮球比赛计时器(课程设计)剖析

目录 摘要...................................................... I 1 总体设计思路、基本原理 (1) 1.1 设计思路 (1) 1.2 基本原理 (1) 2单元电路设计与各单元电路图 (2) 2.1 秒脉冲发生器的设计 (2) 2.2 秒、分倒计数器的设计 (2) 2.2.1 24秒倒计时电路 (2) 2.2.2 12分钟倒计时电路设计 (3) 2.3 译码器和显示器的设计 (5) 3 总设计 (6) 4 总结 (8) 参考文献 (9) 附录 (10) 附录1 (10) 附录2 (11)

简易篮球比赛计时器 摘要 本设计主要能完成:显示篮球竞赛24秒和12分倒计时功能;此计时器功能齐全,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时蜂鸣器会发出声报警信号等。本设计是脉冲数字电路的简单应用,应用七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 本电路主要有五个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路。当控制电路的置数开关闭合时,在数码管上显示数字24和12:00,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路会发出蜂鸣信号。控制电路能直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。 关键词:计数器24秒倒计译码显示电路报警电路

篮球计分器程序

#include #define uint unsigned int #define uchar unsigned char uchar code table[]=".. Play ball! ...."; uchar code table1[]="Welcome to here!" ; sbit key_ST=P1^5; //功能键(开始/暂停) sbit key_A1=P1^0; //功能键(A队分数加1) sbit key_A2=P1^3; //功能键(A队分数加2) sbit key_1A=P1^6; //功能键(A队分数减1) sbit key_B1=P1^1; //功能键(B队分数加1) sbit key_B2=P1^4; //功能键(B队分数加2) sbit key_1B=P1^7; //功能键(B队分数减1) sbit key_EX=P1^2; //功能键(交换场地,A/B两队分数交换) sbit key_JS=P3^0; //功能键(比赛节数加1) sbit FMQ=P3^3; //蜂鸣器 sbit lcdrs=P3^5; //LCD显示的数据/命令选择端 sbit lcden=P3^4; //LCD显示的使能信号 uchar flag,ms,mg,ss,sg,ags,agg,bgs,bgg,num,num1,num2,js=1,C,D,t,min=0,time_fmq; int sec=12; void delayms(uint xms) //定义延时函数 { uint i,j; for(i=xms;i>0;i--) for(j=110;j>0;j--); } void write_data(uchar date) //定义为数据模式{ lcdrs=1; P0=date; delayms(5); lcden=1; delayms(5); lcden=0; } void write_com(uchar com) //定义为命令模式 { lcdrs=0; P0=com;

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

基于单片机的篮球赛计时记分器设计

篮球赛计时计分器设计 院系自动化学院 专业自动化 班级 学号 姓名 指导教师 负责教师

摘要 体育比赛中的计时计分系统是对体育比赛过程中所产生的时间、比分等数据信息进行快速采集、加工处理、传递和利用的系统。此系统能否清晰、稳定、精确的反应体育比赛中的客观数据,直接影响到比赛的公平性和公正性。本文针对上述情况研制了篮球赛计时计分器。该系统以单片机为核心,利用7段共阴LED作为显示器件。为了调整比赛中的时间和比分,在本设计中设立了8个按键,分别用于记录甲、乙两队的分数,设置赛程时间,调整赛程时间,启动和暂停赛程时间等功能,本系统还设计了定时报警系统。实践证明,该系统精度高、稳定性好、抗干扰性强,具有一定的应用前景。 关键词:计时器;计分器;单片机;LED显示

Abstract The system of time and score recorded in the sporting games is the one like this: the data about time and socres that generated in the process of the games can be collected rapidly, processed, transmited and be used. If the system can reflect the objective data in the games clearly, stably and accurately, it will affect the fairness and impartiality of the games. According to this, calculagraph and scores recorder of the basketball games are researched and designed in this paper. The core of the system is the MCU, using seven segments LED as the display. In order to adjusting the time and the scores of the game, eight keys are used in this paper. The functions of these keys are: recording the scores of the team A and B, setting up or adjusting the time of the game, and starting or suspending the time of the game. The timing alarm system is also designed in the system. Experiments , good stability, strong anti-interference and it prospects. Key words: calculagraph;scores recorder; MCU; LED display

篮球竞赛秒计时器设计课程设计

一、概述 在篮球比赛中,规定了一方的持球时间不能超过30秒,否则就被判做犯规。本课程设计的“篮球竞赛30秒计时器”,就可用于篮球比赛中,用于对球员持球时间30秒进行限制。一旦球员的持球时间超过了30秒,计时器便自动报警。 30秒计时器不仅可用于篮球比赛中,生活中也有许多地方可以用到,比如通过对预置时间的更改,可以用于辩论赛等场合。 此次课设设计了一个篮球竞赛30秒计时器电路。它由秒脉冲发生器、计数器、译码显示电路、报警电路和时序控制电路五个部分组成。通过控制电路来完成计时器的直接清零、启动、计数、暂停/连续等功能。计时器为30秒递减计时器,其时间间隔为1秒;计时器递减到零时,数码显示器不能灭灯,持续发光报警。并自行设计直流稳压电源。 这次实践,旨在增强学生思考问题,解决问题,以及将知识运用于实际的能力,作为一名学生应该好好珍惜这样的机会。 二、方案论证 图1 篮球竞赛30秒计时器原理框图 30秒计时器的原理框图如图1所示。30秒计时器主要由秒脉冲发生器、计数器、译码显示电路、时序控制电路和报警电路5部分构成。计数器完成30秒减计时功能,而控制电路是控制计时器的直接清零、启动、计数、暂停/连续功能等功能。操作清零开关时能够使计数器清零并使显示器显示“00”,报警灯发光;当启动开关闭合时,控制电路应封锁脉冲信号,同时计数器完成置数功能,显示器显示“30”;当启动开关断开时,计数器开始计数;当暂停/连续开关闭合时,控制电路封锁脉冲信号,计数器处于封存状态,计数器停止计数;当暂停/连续断开时,计数器连续累计计数;当计数器递减计数到零时,报警灯发出报警信号。

三、 电路设计 1. 直流稳压电源电路 直流稳压电路由电压变压器、整流滤波电路及稳压电路组成,结构框图如图2所示。 交流输入 直流输出 图2 直流稳压电源电路构成 电压变压器的作用是将电网220的交流电压变成整流电路所需要的交流电压,通常为降压变压器。整流电路是将输入的交流电压变换为单向脉动电压和电流,这是还需在整流电路的输出端接入滤波电路滤除交流分量,使输出为平滑的直流电压。稳压电路的作用是将滤波电路输出的电压经稳压后,输出较稳定的电压。直流稳压电源的电路图如图3所示。 图3 直流稳压电路图 2.计数器电路 本实验中计数器选用中规模集成电路74192进行设计,74192是十进制同步加法/减法计数器,它采用8421BCD 码二-十进制编码,其功能表如表1所示。 变压器 整流电路 滤波电路 稳压电路

数电课程设计--篮球计分器设计

《数字电子技术》课程设计题目:篮球比赛记分器设计 学院:信息科学与技术学院 专业:电子信息工程 班级:2010级(2)班 姓名:马慧2010508121 张驰2010508125 2012年7月6日

篮球比赛记分器设计 一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

三、电路的设计 1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

篮球计分器课程设计

郑州科技学院 《数字电子技术》课程设计 题目篮球比赛计分的设计 学生姓名 专业班级通信工程 学号 院(系) 指导教师 完成时间 2014年12月 5日

目录 1 课程设计的目的 (1) 2 课程设计的任务与要求 (1) 3 设计方案与论证 (1) 4 单元电路的设计 (2) 4.1 单元电路 (2) 4.2 电路的设计 (4) 5 硬件的制作与调试 (6) 6 总结 (7) 参考文献 (10) 附录1:总体电路原理图 (11) 附录2:元器件清单 (12) 附录3:实物图 (13)

1 课程设计的目的 (1)熟悉中规模集成可逆计数器,译码器和显示器的功能; (2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣; (3)了解数字系统的实验及调试方法,以及一般故障的排除方法。 (4)了解555定时器的功能作用和分类。 2 课程设计的任务与要求 (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)显示器可清零。 3 设计方案与论证 据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。 用3片四位二进制加法计数器74LS161组成一、二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。3片七段共阴极数码管构成显示起用于显示分数。 设计方案有多种,这次找出两种方案如下: 方案1:数字逻辑电路控制方案 数字逻辑电路控制系统主要由各种逻辑元件构成,包括计数器、触发器以及各种门电路,硬件设计思路非常简单,造价低廉,元件少,体积小,稳定性好,可靠性和性价比都很高。缺点在于功能实现后电路结构复杂,维护起来比较困难。 在本设计中,采用数字逻辑电路控制系统,与单片机相比,该电路具

简单篮球比赛计分器设计

目录 引言............................................................................................................................ I 1 设计意义与要求 (1) 1.1 设计意义 (1) 1.2 设计要求 (1) 2系统总体方案及硬件设计 (2) 2.1 AT89C51简介 (2) 2.2 数码管显示原理 (2) 2.3 系统总体方案 (3) 3 编程设计 (4) 3.1 定时/计数器 (4) 3.2 程序流程图 (4) 3.3 程序分析 (6) 4 Proteus软件仿真 (7) 4.1 Proteus仿真软件简介 (7) 4.2 仿真过程 (7) 5 调试分析 (10) 6 心得体会 (11) 致谢 (12) 参考文献 (13) 附录一程序清单 (14) 附录二电路原理图 (16)

篮球比赛计分器设计 引言 本系统是采用单片机AT89C51作为本设计的核心元件,利用7段共阳LED作为显示器件。在此设计中共接入了2个7段共阳LED显示器,用于记录该队的分数,2个LED 显示器显示范围可达到0~99分,基本满足赛程需要。当比赛队得1分时,按下S1键加1分,得2分时按S2键加2分,得3分时按下S3键加3分。如分数计错需减分时,每按一次S4键减1分。 采用单片机控制是这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:AT89C51 LED显示定时/计数器

篮球比赛24秒计时器

湖南工业大学 数字电路课程设计 指导老师:张学毅 学院:电气与信息工程 班级:电气工程1001 姓名:席献斌 学号:10401701008

一、制作任务 制作一个时间计时器,用于篮球赛控制时间的限时警示电路。 二、设计要求 1、具有24秒计时功能 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时,计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 三、总体参考方案

包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯等功能。当计时器递减计时到零(既定时时间到)时,显示器上显示00,同时二极管闪亮。 设计思路:秒脉冲信号经过递减计数器,译码器,再由数码管显示出来,中间包括控制电路。 四、主要元器件原理介绍 1、共阴极数码管 数码显示器可显示系统的运行状态及工作数据,我们所选用的是发光二极管(LED)显示器,它分为两种,共阴极(BS201/202)与共阳极(BS211/212),我们所选的是共阴极,它是将发光二极管的阴极短接后作为公共极,当驱动信号为高电平时,阴极必须接低电平,才能够发光显示。共阴极数码管的外引脚及内部电路如下图:

2、七段显示译码器74LS48 驱动共阴极显示器的译码器输出为高电平有效,所以选用74LS48驱动共阴极的发光二极管显示器。 下图是74LS48外引线排列图与功能表: 74LS48工作原理:译码器输入端为二进制码,经译码器后,输出端分别与七段显示器的的输入端对应连接。⑴消隐(灭灯)输入端BI为低电平有效。当消隐(灭灯)输入端BI =0 时,不论其余输入端状态如何,所有输出为零,数码管七段全暗,无任何显示;当消隐输入端BI =1 时译码器译码。⑵灯测试(试灯)输入端LT 为低电平有效。当灯测试(试灯)输入端=0(/ =1)时,不论其余输入端状态如何,所有输出为1,数码管七段全亮,显示8。可用来检查数码管、译码器有无故障;当灯测试输入端LT =1 时译码器译码。⑶脉冲消隐(动态灭灯)输入RBI 为低电平有效。当RBI =1时,对译码器无影响;当BI =LT =1 时,若RBI =0,输入数码是十进制的零时,数码管七段全暗,不显示;输入数码不为零时,则照常显示。在实际使用中有些零是可以不显示的,如004.50 中的百位的零可不显示;若百位的零可不显示,则十位的零也可不显示;小数点后第二位的零,不考虑有效位时也可不显示。脉冲消隐输入RBI =0 时,可使不显示的零消隐。

篮球计分器设计毕业设计

目录 第一部分设计任务与调研 (3) 第二部分设计说明 (5) 第三部分设计成果 (10) 第四部分结束语 (15) 第五部分致谢 (17) 第六部分参考文献 (18) 第七部分附录 (19)

第一部分设计任务与调研 一、调研 体育比赛记分系统是对体育比赛过程中所产生的比分等数据进行快速采集记录,加工处理,传递利用的信息系统。根据不同运动项目的不同比赛规则要求,体育比赛的记分系统包括测量类,评分类,命中类,制胜类得分类等多种类型。 比如篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的记分系统是一种得分类型的系统。篮球比赛的记分系统由计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛记分系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。 由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家,测控技术企业,机电行业,竞相把单片机应用于产品更新,作为实现数字化,智能化的核心部件。篮球计时计分器就是以单片机为核心的计时计分系统,由计时器,计分器,综合控制器和24秒控制器等组成。 二、设计任务 (1)给甲、乙两队分别设置加分按钮,各按钮按下分别实现给甲、乙队加1~9分。 (2)给甲、乙两队分别设置减分按钮,各按钮按下分别实现给甲、乙队减1~9分。 (3)设置一个复位按钮,按下实现甲、乙队总分回到初试分及显示

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

篮球赛计时计分器课程设计

电子与电气工程学院 课程设计报告 课程名称电子技术课程设计 设计题目计分器 专业名称电子科学与技术 班级 13级 2班 学号2013210825 学生姓名唐前昆 指导教师王欢 2015年06 月02日

目录 第1章系统概述 (1) 1.1 功能简述 (1) 1.2 按钮设置 (1) 第2章总体方案设计 (2) 2.1 系统框图 (2) 2.2 软件总体设计 (2) 第3章系统硬件设计 (3) 3.1 80C51单片机 (3) 3.2 3×4矩阵式键盘 (3) 3.3 8段数码管显示器 (3) 3.4 系统原理图 (3) 第4章软件设计 (4) 4.1 主函数设计 (4) 4.2按键码获取,按键处理函数 (5) 4.3显示子函数 (6) 4.4延时子函数 (7) 第5章系统的安装调试说明 (8) 5.1 软件调试 (8) 5.2 软硬联调 (8) 总结 (9) 参考文献 (10) 附录A 系统原理图 (11) 附录B 源程序清单 (12)

第1章系统概述 1.1 功能简述 本设计内容为比赛计分器,主要用于各种体育比赛记录分数。采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2和减1减2操作,其加减1,2分可以通过加减1分、2分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。可以实现预置分。比分通过4个8段数码管显示器进行显示,每队比分显示2位, 1.2 按钮设置 计分器应该有7个按键分别标注于原理图,见图1-1。 图1-1按钮功能图 其中1/2分切换由发光二极管指示,加1减1分别对应。预置分是事先设定分数可以分别设定甲乙两队的初始分数。按下清零后,显示的分数清零。

篮球比赛计分器设计

学号: 本科毕业设计 学院 专业 年级 姓名 论文题目篮球比赛计分器设计 指导教师职称 年月日

目录 摘要 (1) Abstract (1) 1绪论 (2) 1.1篮球比赛计分器设计的研究的背景 (2) 1.2研究内容 (2) 1.3设计目标 (3) 2方案选择与论证 (3) 2.1方案一 (3) 2.2方案二 (4) 2.3方案三 (4) 2.4方案选择 (5) 3单元电路设计 (5) 3.1单片机简述 (5) 3.2振荡电路 (6) 3.3控制按键电路 (7) 3.4复位电路 (7) 3.5报警时钟电路 (8) 3.6数码管显示电路 (8) 4软件设计 (10) 4.1 主流程图 (10) 4.2 源程序代码 (11) 5调试结果及分析 (11) 6 结论 (12) 参考文献 (13)

篮球比赛计分器设计 摘要:篮球比赛计分器主要由计分器、计时器等多种电子器件组成。篮球比赛计分器主要实现的基本功能有:开始和暂停、比赛时间倒计时、24秒倒计时、加分操作、蜂鸣报警、时间和比分显示的基本功能。本设计具备比赛过程中数据显示的稳定性及准确性;在比赛进入倒计时24秒之后,该系统会有报警声,即该场比赛结束。随着单片机在各个领域的应用,篮球比赛积分器系统也应用单片机为主要芯片。在用单片机控制的LED七段显示器计时计分以便于在比赛中提高稳定性和准确性。本设计是基于单片机AT89C51的篮球比赛计分系统。利用三个四位8段数码管作为显示器件,有时间显示,每一次进攻24秒显示,每一节分钟倒计时显示,双方比分显示。 关键词:篮球比赛;计分器;24秒倒计时 The design of basketball game scoring device Abstract:Basketball game scoring devices mainly includes scoring devices, calculagraph, and other electronic devices. The basic functions of a basketball game are: start and pause, the game countdown, a 24-second countdown, adding scores, buzzing alarm, displaying time and scores. This design is stable and accurate. When the game is 24 seconds left, the alarm will ring. Then it means game is over. With the application of SCM in various fields, the basketball game system also adopts SCM as the main chip. By using the LED seven-segment display controlled by SCM, it will be more stable and accurate in the game. This design is mainly talking about the scoring system which is based on SCM AT89c51. Using three four eight-segment as display devices, it shows time, every 24 seconds, every minute countdown, scores between two sides. Key words: Basketball game, scoring device, 24 seconds countdown

单片机课程设计-篮球计时器

单片机课程设计-篮球计时器

绪论 篮球比赛中除了有总时间倒计时外,为了加快比赛的节奏,规则还要求进攻方在24秒内有一次投篮动作,否则视为违例。以下为一个篮球比赛计时器,该计时器采用按键操作、数码管显示,非常实用。此计时器也可作为其他球类比赛的计时器。 本课程设计介绍了一个基于单片机的篮球比赛计时器硬件设计,包括STC89C51, 2个八段共阳数码管显示、上电复位电路、时钟发生电路等基本模块的设计。其功能土要有:一场篮球比赛共分四节,每节12分:每次进攻为24秒,计时器的显示均为倒计时方式,24秒计时用两位数码管显示;所有的计时都要具有暂停、继续、复位;当球员的持球时间超过24秒时,24秒倒计时减为零且有蜂鸣器报警提示。本次课程设计是采用单片机C语言实现倒计时24秒篮球比赛计时器。

1系统工作原理 1.1 功能说明 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。 大多数篮球计时器的主控芯片为AT89C51,采用12MHz晶振,P0.0-P0.7作数码显示端。24秒计时开始,A3为24秒复位开启键(投篮或交换控球时按下此键);A4为24秒计时停止键(有违例时按下此键); A5为24秒计时启动键;A6为总复位键。而此次我们设计的是1个简易篮球比赛计时器。 最简单的篮球球计时器是24秒倒计时计时器。也就是本次课程设计的课题。24秒篮球计时器要求设置外部操作开关,控制计数器的直接复位、启动和暂停,并且计时电路递减计时,每隔1秒钟,计时器减1,当计时器减0时,显示器上显示00,同时发出蜂鸣器报警信号。 1.2基本原理 24秒计时器的总体参考方案框图如图1所示,它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒倒计时功能,而控制电路完成计数器的直接复位、启动技术、暂停以及连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 按键AT89C 51 单片 机 显示 驱动 数码管 显示 蜂鸣器 报警

#篮球比赛计时计分器的毕业设计

毕业设计(论文) 篮球比赛计时计分器的设计The design of basketball game time and scoring 班级电气自动化092班 学生姓名杨杰学号 930706036 指导教师周天沛职称讲师 导师单位徐州工业职业技术学院 论文提交日期 2011年11月18日

徐州工业职业技术学院 毕业设计(论文)任务书 课题名称篮球比赛计时计分器的设计课题性质设计制作类 班级电气自动化092班 学生姓名杨杰 学号 930706036 指导教师周天沛 导师职称讲师

一. 选题意义及背景 篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时计分系统是一种得分类型的系统。篮球比赛的计时计分系统由计时器,计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时计分系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。 二. 毕业设计(论文)主要内容: 任务:设计一个用于赛场的篮球计时计分器。 要求:1、能记录整个赛程的比赛时间,并能修改比赛时间。 2、能随时刷新甲、乙两队在整个过程中的比分。 3、比赛结束时,能发出报警声。 其中硬件部分包括键盘和显示器接口电路的设计。软件部分利用单片机编程软件编写程序,并利用protest软件进行调试,完成毕业论文。 预期成果为设计实物一件,提交毕业设计论文一篇。 三.计划进度: 第8 周查阅资料、选型对比调研,初步确定控制电路的总体设计。 第8-10 周完成硬件线路设计及元器件的选型。 第11 周单片机软件程序的编写。 第12 周调试系统达到设计要求,并完成毕业论文。 第13 周答辩。 四.毕业设计(论文)结束应提交的材料: 1、论文一篇(8000字以上) 2、实物一件 指导教师教研室主任 年月日年月日

篮球比赛数字记分牌的设计方案

目录 1 课程设计题目`内容与要求……………………… 设计内容 具体要求 2系统设计………………………… 设计思路 系统原理 3 系统实现…………………………………………… 4 系统仿真……………………………………………5硬件验证(操作)说明……………………………… 6 总结…………………………………………………

7参考书目…………………………………………… 一、课程设计题目、内容与要求 课程设计的题目:篮球比赛记分牌 课程设计内容: 1、根据比赛实际情况记录两队得分,罚球进的1分,进球的2分; 2、记分牌要具有纠错功能,能减1分、2分功能; 3、利用3个译码显示管输出比赛的分; 二、系统设计 设计思路: 篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:

系统原理与设计说明 系统各个模块的功能如下: 1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。 2、4为二进制全加器电路模块实现加法计数功能。 3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。 4、二选一数据选择器电路模块用来控制移位寄存器 5、 LED数码管驱动电路模块 三、系统实现 各模块电路的源程序如下: 1、D触发器电路模块及程序:

set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。library ieee; use entity sync_rsdff is port(d,clk : in std_logic; set : in std_logic; reset: in std_logic; q,qb : out std_logic); end sync_rsdff; architecture rtl_arc of sync_rsdff is begin process(clk) begin if (clk'event and clk='1') then if(set='0' and reset='1') then q<='1'; qb<='0'; elsif (set='1' and reset='0') then q<='0'; qb<='1'; else

电子课程设计报告-篮球比赛倒计时器

课程设计报告 课程名称:电子技术课程设计 设计题目:篮球比赛倒计时器 专业:自动化 班级: 1班学号: 学生: 时间: 2012 年 2 月 20 日~ 2 月26 日 ―――――――以下指导教师填写――――― 分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论 知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为 今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯等。 本课程设计“篮球比赛倒计时器的设计”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的违例。 本设计主要能完成:电路具有24.0秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为0.1秒;当计时器递减计时到零时,显示器显示0,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,并在Proteus下设计和进行仿真,得到了预期的结果。

目录 第1章绪论 (1) 1.1课程设计意义 (1) 1.2 设计任务及要求 (1) 1.2.1 设计任务 (1) 1.2.2 基本要求及目标 (1) 第2章电路框图及工作原理 (1) 2.1 设计方案 (1) 2.2 电路框图 (2) 第3章单元电路的设计 (2) 3.1 24进制计数器的设计 (2) 3.2 数码显示电路的设计 (4) 3.3 0.1秒脉冲的设计 (5) 3.4 报警电路的设计 (7) 3.5 整机工作原理 (7) 第4章电路仿真 (8) 心得体会 (10) 参考文献 (11)

相关主题
相关文档 最新文档