当前位置:文档之家› 数字逻辑_习题一_答案

数字逻辑_习题一_答案

数字逻辑_习题一_答案
数字逻辑_习题一_答案

〈习题一〉作业参考答案

1.4 如何判断一个7位二进制正整数A=a 1a 2 a 3 a 4 a 5 a 6 a 7是否是4的倍数。 答:只要a 6 a 7=00,A 即可被4整除。

1.10设[x]补=01101001,[y]补=10011101,求:1[]2x 补,1[]4x 补,1[

]2y 补,1

[]4

y 补,[]x -补,[]y -补。

答:(1)如[x]补=x 0x 1x 2…x n ,则1

[]2

x 补= x 0x 0x 1x 2…x n-1. x n 。 所以,1[]2x 补=00110100.1,1[]4x 补=00011010.01,1

[

]2

y 补=11001110.1,1

[]4

y 补=11100111.01。 (2)如[x]补=x 0x 1x 2…x n ,[-x]补=012...1n x x x x +。 所以,[]x -补=10010111,[]y -补=01100011。 注意:公式(1)[x]补=x 0x 1x 2…x n ,则1

[]2

x 补= x 0x 0x 1x 2…x n-1. x n

(2)[x]补=x 0x 1x 2…x n ,[-x]补=012...1n x x x x +

一定要掌握。

1.11根据原码和补码的定义回答下列问题: (1)已知[x]补>[y]补,是否有x>y?

(2)设-2n

0,则[x]补>[y]补。但显然x

(2)因为x<0,所以[x]补=2n+1+x ,[x]原=2n

-x ;

要使[x]补=[x]原,则2n+1+x=2n

-x 。从而可以得到:

X=-2(n-1)

注意:因为-2n

+x 。

1.12 设x 为二进制整数,[x]补=11x 1 x 2 x 3 x 4 x 5,若要x <-16,则x 1~x 5应满足什么条件? 答:[x –(-16)]补=[x+16]补=[x]补+10000,若要x <-16,则[x –(-16)]补>1000000,

即[x]补+10000>1000000。根据补码加法,则x 1=0,x 2~x 5任意。 或:

[x]补=27+x ,所以x=[x]补-27

<-16,即11x 1 x 2 x 3 x 4 x 5<112,因此x 1 x 2 x 3 x 4 x 5<16。所以x 1=0,x 2 x 3 x 4 x 5任意。

1.16 完成下列代码之间的转换: (1)(0101 1001 1001 0111.0111)8421BCD =(5997.7)10。 (2)(359.25)10=(0110 1000 1100.01011)余3。 (3)(1010001110010101)余3=(0111 0000 0110 0010)8421BCD

1.17 试写出下列二进制数的典型格雷码:101010,10111011。 答:典型格雷码的编码规则为:

1n n

i

i i G B G B B +=??

=⊕? 所以101010对应的格雷码为:111111。10111011对应的格雷码为:11100110。

1.18 试给出一位余3码的奇校验海明码。

答:1)根据公式(21)r

r k --= 且余3码对应的k=4,确定校验码位数r=3;

2)设置校验位b 1, b 2, b 3,将他们分别置于1,2,4码位上,并根据分组规则将它们分成3组,如下表所示:

3)列出校验位的表达式(奇校验):

112421343241131

b a a a b a a a b a a a =⊕⊕⊕=⊕⊕⊕=⊕⊕⊕ 计算每组余3码相应的校验位值。完整的余3码海明码表如下表所示: 信息码序号

b 1 b 2 a 1 b 3 a 2 a 3 a 4 0 0 1 0 1 0 1 1 1 0 1 0 0 1 0 0 2 1 0 0 1 1 0 1 3 0 0 0 1 1 1 0 4 1 1 0 0 1 1 1 5 0 0 1 1 0 0 0 6 1 1 1 0 0 0 1 7 0 1 1 0 0 1 0 8 1 0 1 1 0 1 1 9

1

1

1

注意:不能把余3码转换成8421BCD 码,然后再求其海明码。

1.19 设有一信息码字a 1a 2a 3a 4=1010,需用偶校验的海明码进行传送,使给出该信息的海明码。若接收端a 3变为0,如何发现?如何纠正?

答:该信息的海明码为:1011010。若接收端a 3变为0,那么S 3S 2S 1=110(因为a 3对应的码位为6)。直接将第6位(即a 3)取反即可。

注意:S 3S 2S 1指出了错码的码位,而不是a 的下标。

〈习题二〉作业参考答案

2.4 用逻辑代数公理和定理证明: (1)AB AB AB AB ⊕=+ 证明:AB AB ⊕

=AB AB AB AB + 异或运算的定义

=()()AB A B A B AB +++

摩根律 =ABA ABB AAB BAB +++ 交换律、分配律 =AB AB AB AB +++ 重叠律、交换律 =AB AB +重叠律

(2)()A B AB AB ⊕= 证明:()A B AB ⊕

=()AB AB AB + 异或运算的定义

=()()AB AB AB AB AB AB +++ 同或运算的定义 =ABAB ABAB AB AB AB ++ 分配律、摩根律 =AB AB AB 互补律 =AB AB AB ++ 摩根律 =AB B + 分配律、互补律 =A B + 吸收律 =AB 摩根律

(3)A ABC ABC ABC ABC =++ 证明:A ABC

=()A A B C ++

摩根律 =()A B C +

吸收律 =A B A C +

分配律 =()()A B C C A C B B +++

互补律、0-1律 =)ABC ABC ABC ABC +++ 分配律、交换律 =ABC ABC ABC ++ 分配律、交换律

(4)AB BC A C AB BC AC ++=++

证明:()()()AB C C A A BC A B B C +++++ 互补律、0-1律

=ABC AB A ABC B C C C A C B AB +++++ 分配律、交换律 =AB BC A C ++

(5)1AB AB AB AB +++= 证明:AB AB AB AB +++

=()()A B A B A AB B +++ 结合律 =()()B B B A A B +++ 分配律

=A A + 互补律、0-1律 =1 互补律

2.5 写出下列表达式的对偶式(最好利用对偶定义来求解) (1)()()()F A B A C C DE F =++++ 答:'(())F AB A C C D E F =+++

(2)F A B C B A C B C =+++++++ 答:'F ABCBACBC =

(3)F AB CD DAB =

答:'F A B C D D A B =++++++

(4)()()F B A B B A C =⊕+⊕

答:需要了解同或的对偶式为异或,异或的对偶式为同或。 '(())(())F B A B B A C =++

(5)()()F C A B D =⊕⊕⊕

答:'()()F C A B D =

2.6 写出下列表达式的反函数(最好利用取反规则来求解) (1)123456(())F x x x x x x =++ 答:123456(())F x x x x x x =+++

(2)(())F S W I T C H =+++

答:(())F S W I T C H =++

(3)()F AB CD EF G =++

答:()(()())F A B C D E F G =++++

(4)()F AB BC A C D =+++

答:()()()F A B B C A CD =+++

2.7回答下列问题:

(1)已知X+Y=X+Z ,那么Y=Z 正确吗?为什么? 答:不正确。若X=1,则Y ,Z 任意取值等式都成立。

(2)已知XY=XZ ,那么Y=Z 正确吗?为什么?

答:不正确。如X=0,则Y ,Z 任意取值等式都成立。

(3)已知X+Y=X+Z ,且XY=XZ ,那么Y=Z 正确吗?为什么?

答:正确。因为X+Y=X+Z ,则X=1或X=0且Y=Z 。若X=1,则由XY=XZ 可得Y=Z 。

(4)已知X+Y=X ?Y ,那么X=Y 正确吗?为什么?

答:正确。X 只能取1或0。若X=1,则等式右边为1,左边为Y ,因此,Y=1,可得X=Y ; 若X=0,则等式左边为Y ,右边为0,因此,Y=0,可得X=Y 。所以,成立。 2.11 用卡诺图判断函数F (A ,B ,C ,D )和G (A ,B ,C ,D )的关系。

F BD AD CD ACD =+++

G BD CD ACD ABD =+++

答:F 的卡诺图如图1,化简后F D =

由此可见,F G =

2.12 用卡诺图化简包含无关最小项的函数和多输出函数: (1)(,,,)(0,2,7,13,15)(1,3,4,5,6,8,10)F A B C D m d =

+∑∑

答:F 的卡诺图如下:

所以,(,,,)F A B C D A BD =+。

(2)414243(0,2,4,7,8,10,13,15)(0,1,2,5,6,7,8,10)(2,3,4,7)

F m F m F m ?=??

=??=??∑∑∑

多输出函数的化简关键在于充分利用各函数之间的共享部分。如上图虚线框所示。 所以化简后的多输出函数应该为:

123F BD ABD ABCD ABCD F BD ACD ABC

F ABC ABCD ABCD

?=+++??

=++??=++??

对于2F 的化简,还要注意化简的标准:不同的与项个数应该最少,不同的变量个数应该最少。

〈习题四〉作业参考答案

4.4 试分析图4.60 所示的码制转换电路的工作原理

答:①写出逻辑表达式

001G B B =⊕

112G B B =⊕

223G B B =⊕

33G B =

因此,上述逻辑电路的功能是把一个四位二进制数转换成了Gray 码。

4.7 设二进制补码 [x]补=x 0x 1x 2x 3x 4,写出下列要求的判断条件:

(1)

1122x x ≤<-或 (2)11114224

x x ≤<-≤<-或

(3)

11118448

x x ≤<-≤<-或 (4)11

00x x ≤<-≤<或

答:根据补码定义,若x>y 且x 、y 同号,则[x]补>[y]补。x 0符号位,小数点在x 0后。

因此: (1)

1122

x x ≤<-或 (x 0=0,x 1=1) 或

(x 0=1且x 0.x 1x 2x 3x 4<1.1即x 0=1且x 1=0)

因此,F= x 0⊕x 1。 (2)

11114224

x x ≤<-≤<-或 (0.01≤[x]补<0.1,所以x 0=0 ∧ x 1=0 ∧ x 2=1)

(1.1≤[x]补<1.11,所以x 0=1 ∧ x 1=1 ∧ x 2=0)

因此,012012F x x x x x x =+

(3)

11118448

x x ≤<-≤<-或 (0.001≤[x]补<0.01,所以x 0=0 ∧ x 1=0 ∧ x 2=0 ∧ x 3=1) 或

(1.11≤[x]补<1.111,所以x 0=1 ∧ x 1=1 ∧ x 2=1 ∧ x 3=0)

因此,01230123F

x x x x x x x x =+

(4)11008

8

x x ≤<-≤<或

(0.0000≤[x]补<0.001,所以x 0=0 ∧ x 1=0 ∧ x 2=0 ∧ x 3=0) 或

(1.111≤[x]补<2,所以x 0=1 ∧ x 1=1 ∧ x 2=1 ∧ x 3=1) 因此,01230123F

x x x x x x x x =+

4.12 设计一个能接收两位二进制数Y=y 1y 0,X=x 1x 0,并输出Z=z 1z 0的逻辑电路。当Y=X 时,

Z=11;当Y>X 时,Z=10;当Y <X 时,Z=01。用与非门实现该逻辑电路。

②画出z 0、z 1对应的卡诺图,进行化简。

由此可得,010********z y y x x y x y x y x =++++。

由此可得,1

1010011110z x x y y y x y x y x =++++。

③根据要求的逻辑门类型,进行转换并画出逻辑电路图。

010101011011010101101z y y x x y x y x y x y y x x y x y x y x =++++=

110100111101010011110z x x y y y x y x y x x x y y y x y x y x =++++=

根据上述与非形式,可以用与非门实现该逻辑电路。(图略)

4.13 已知[x]原=x0x1x2,试设计一个逻辑电路,以原码作为输入,要求:当AB=01时,输出反码;当AB=10时,输出补码。

②画出y0、y1、y2对应的卡诺图,进行化简。

所以,000201()()()y AB x AB x x x x =++

1y 和2y 的处理方法同上。

所以,1010112012()()()()y AB x x AB x x x x x x x =+++

所以,2

02022()()()()y AB x x x x AB x =++

根据上述y 0 、y 1 、y 2的函数表达式,可画出相应的逻辑电路图(略)。

4.14 设计一个8421BCD 码十进制数对9的变补电路。要求:写出真值表;给出最简逻辑表达式;画出电路图。

②画出F 1 、F 2 、F 3和F 4对应的卡诺图,进行化简。

所以,1

F

所以,2

F BC BC B C =+=⊕

所以,3

F C =。

所以,4

F D =。 电路图略。

4.17 设计一个组合逻辑电路,其输入为三位二进制数A=A 2 A 1 A 0,输出也为一个三位二进制

数Y=Y 2Y 1Y 0。当A 的值小于2时,Y=0;当2≤A <5时,Y=A+3;当A >5时,Y=A-3。要求用与非门实现该电路。 答:①根据逻辑要求,建立真值表。

②画出Y 0、Y 1 、Y 2对应的卡诺图,进行化简。 所以,2

212110************Y A A A A A A A A A A A A A A A A A A =++=++=。

所以1210202121020212102021Y A A A A A A A A A A A A A A A A A A A A A =++=++=。

所以0

102110211021Y A A A A A A A A A A A A =+=+=。

上述表达式已经进行了适当的转换,可以很方便地用与非门来实现。电路图略。

4.18一组合电路有4个输入A 、B 、C 和D (表示4位二进制数,A 为最高位,D 为最低位),

两个输出为X 和Y 。当且仅当该数被3整除时,X=1;当且仅当该数被4整除时,Y=1。求出X 和Y 的逻辑函数,画出最简逻辑电路。 答:①根据逻辑要求,建立真值表。

②画出X 、Y 对应的卡诺图,进行化简。

所以,(0,3,6,9,12,15)X

m =∑。

所以,Y

CD =。

逻辑电路图略。

〈习题五〉作业参考答案

5.5 给出逻辑电路图如图5.24所示,试分析该电路的逻辑功能,并给出逻辑功能的真值表。

答:逻辑功能的真值表

10

n n

Q

S RQ S R +?=+?

?=?

这是一个与由或非门构成的基本R-S 触发器功能一样的触发器。

5.8 写出图5.27所示的各触发器的次态方程。

答:1、1n n D Q Q +== 2、1n n

D Q Q +== 3、11n n n n n

n n n n T Q TQ Q Q Q Q Q Q Q +=+=+=+= 4、1000n n n n

n n n T Q TQ

Q Q Q Q Q +=+=+=+= 5、100n n n

n n n n n J Q KQ Q Q Q

Q Q Q +=+=+=+=

6、1

0000n n

n n

n n J Q KQ

Q Q Q Q

+=+=+=+=

5.9 有一触发器的电路结构如图5.28所示,试给出该触发器的状态转移真值表,写出其特征方程。

答:当CP=1时,电路不接受输入信号X ,1

n n Q

Q +=。

当CP=0时,电路接收输入信号X ,1

n Q X +=。

其状态转移真值表如下:

画出卡诺图,进行化简。

由此可得其特征方程为:1

n n

Q CP X CP Q +=?+?。

〈习题六〉作业参考答案

6.5 某一电路有一个输入端x 和一个输出端Z 。当x 连续出现3个0或2个1时,输出Z=1,

且第4个0

或第3个1使输出Z=0。试作出该电路的同步时序逻辑电路的原始状态表。 答:Mealy 型原始状态图为:

Mealy型原始状态表为:

6.7试分析图6.59所示的同步时序逻辑电路。写出该电路的激励函数和输出函数表达式,做出状态图和状态表,并说明该电路的逻辑功能。

答:1、激励函数表达式:J1=K1=1;J2=K2=y1;J3=K3=y1y2

该电路是Moore型电路,状态变量就是电路的输出。可不必单独列出输出函数。

2、建立状态表

3、状态图

输入始终为1。是一个模8加1计数器。

6.9 图6.1为一个串行加法器逻辑框图,试作出其状态图和状态表。

答:状态图为:

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑试卷及答案

计算机学院 第二学期《数字逻辑》 期未考试试卷 A 卷 学号 班级 姓名 成绩 一、填空(每空1分,共14分) 1、(21.5)10=( )2=( )8=( )16 2、若0.1101x =-,则[]x 补=( ) 3、十进制数809对应的8421BCD 码是( ) 4、若采用奇校验,当信息位为10011时,校验位应是( ) 5、数字逻辑电路分为( )和( )两大类 6、电平异步时序逻辑电路的描述工具有( )、( )、( ) 7、函数()()F A B C D =+?+的反函数是( ) 8、与非门扇出系数N O 的含义是( ) 9、若要消除函数(,,)F A B C AB AC =+对应的逻辑电路可能存在的险象,则应增加的冗余项是( ) 二、选择题(每空2分,共16分) 从下列各题的四个答案中,选出一个正确答案,并将其代号填入括号内 1、数字系统采用( )可以将减法运算转化为加法运算 A .原码 B .余3码 C .Gray 码 D .补码 2、欲使J-K 触发器在CP 脉冲作用下的次态与现态相反,JK 的取值应为( ) A .00 B .01 C .10 D .11 3、对完全确定原始状态表中的6个状态,A 、B 、C 、D 、E 、F 进行比简,若有(A ,B ),(D 、E )等效,则最简状态表中只有( )个状态 A .2 B .4 C .5 D .6 4、下列集成电路芯片中,( )属于组合逻辑电路 A .计数器74290 B .寄存器74194 C .三一八译码器74138 D .集成定时器5G555 5、设计一个20进制同步计数器,至少需要( )个触发器 A .4 B .5 C .6 D .20 6、用5G555构成的多谐振荡器有( ) A .两个稳态 B .两个暂稳态

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字逻辑考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) 101 0101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C ) A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。

数字逻辑电路试卷(附答案)

1.逻辑函数的两种标准形式分别为。 2.将2004个“1”异或起来得到的结果是(0)。 3.半导体存储器的结构主要包含三个部分,分别是(译码器)、(存储阵列)、(控制逻辑)。 4.A/D转换的四个过程是采样、保持、量化和(编码),其中采样脉冲的频率要求至少是模拟信号最高频率的(2)倍。 5.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(5/128)v;当输入为10001000,则输出电压为(5*136/128)v。 6.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换精度高。 7.(61. 5)10 == (3D.8)16 = (10010001.1000)5421BCD; 8.已知某74ls00为2输入4与非门,I OL=22mA,I OH=2mA,I IL=2mA,I IH=40μA,则其低电平输出的扇出系数N OL=(11),其高电平输出的扇出系数N OH=(50); 9.函数的最小项表达式为F=(4.5.7),最大项表达式为(0.1.2.3.6) 10. 根据对偶规则和反演规则,直接写出的对偶式和反函数, Fd =(),=(); 11. 12.已知X=(-17),则X的8位二进制原码为(10001001),其8位二进制补码为(11110111); 13.T' 触发器的次态方程是(Qn+1 = ~Qn); 14.D触发器的次态方程是(); 15.根据毛刺的不同极性,可以将逻辑险象分为0型险象和1型险象,对于一个逻辑表达式,若在给定其它变量适当的逻辑值后,出现F= ()的情形,则存在1型险象;

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

数字逻辑课本习题答案

习题五 1. 简述时序逻辑电路与组合逻辑电路的主要区别。 解答 组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。组合电路具有如下特征: ①由逻辑门电路组成,不包含任何记忆元件; ②信号是单向传输的,不存在任何反馈回路。 时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。时序逻辑电路具有如下特征: ○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能; ○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关; ○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。 2. 作出与表1所示状态表对应的状态图。 表1 状态表 现态y2 y1 次态y2 ( n+1)y1(n+1) /输出Z x2x1=00x2x1=01x2x1=11x2x1=10 A B C D B/0 B/0 C/0 A/0 B/0 C/1 B/0 A/1 A/1 A/0 D/0 C/0 B/0 D/1 A/0 C/0

解答 根据表1所示状态表可作出对应的状态图如图1所示。 图1 3. 已知状态图如图2所示,输入序列为x=,设初始状态为A,求状态和输出响应序列。 图2 解答 状态响应序列:A A B C B B C B 输出响应序列:0 0 0 0 1 0 0 1

4. 分析图3所示逻辑电路。假定电路初始状态为“00”,说明该电路逻辑 功能 。 图 3 解答 ○1 根据电路图可写出输出函数和激励函数表达式为 x K x,J ,x K ,xy J y xy Z 111121 2===== ○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示, 状态图如图4所示。 表2 图4 现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Z x=0 x=1 00 01 10 11 00/0 00/0 00/0 00/0 01/0 11/0 11/0 11/1

数字逻辑试卷及答案

数字逻辑试卷及答案 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) =+的两种标准形式分别为()、 1.逻辑函数Y A B C ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量为5v。若只有最低位为高电平,则输出电压为 ()v;当输入为,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数 P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。(6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1: 地址输入数据输出 A3 A2 A1 A0 D3 D2 D1 D0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

数字逻辑习题答案_毛法尧_第二版

毛法尧第二版 习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算: ⑴0000101-0011010 [0000101-0011010]原=10010101; ∴0000101-0011010=-0010101。 [0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101 [0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101 ⑵0.010110-0.100110 [0.010110-0.100110]原=1.010000;

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

2020秋西安电子科技大学《数字逻辑电路》大作业期末试题及答案

学习中心/函授站_ 姓名学号 西安电子科技大学网络与继续教育学院 2020 学年下学期 《数字逻辑电路》期末考试试题 (综合大作业) 题号一二三四总分 题分30 10 30 30 得分 考试说明: 1、大作业试题于2020 年10 月15 日公布: (1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷; (2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷; (3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整; 2、考试必须独立完成,如发现抄袭、雷同均按零分计; 3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写 完成,要求字迹工整、卷面干净。 一、单项选择题(每小题2 分,共40 分) 1、下列各数中与十进制数101 不相等的数是( D )。 A.(0100 0011 0100)余3BCD B.(141)8C.(1100101)2D.(66)16 2、八进制数(35)8的8421BCD 是( B )。 A.0011 1000B.0010 1001C.0011 0101D.0010 1100 3、为使与非门输出为1 则输入( A )。 A.只要有0 即可B.必须全为0C.必须全为1D.只要有1 即可 4、函数F AC BC AB与其相等的表达式是( B )。 A.BC B.C+AB C.AC AB D.AB

5、使函数F AB AC BC 等于 0 的输入 ABC 的组合是( C )。 A .ABC=111 B .ABC=110 C .ABC=100 D .ABC=011 6、四变量的最小项ABCD 的逻辑相邻项是( A )。 A .ABCD B .ABCD C .ABC D D .ABCD 7、函数F ABC B .C (A D )BC 的对偶式是( C )。 A . G (A B C )(B C )(AD B C ) B .G A B C (B C )AD B C C .G A B C (B C )(A D B C ) D .G A B C (B C )A D B C 8、F A B C ADE BDE ABC 的最简式为( A )。 A .1 B .ABC DE C .ABC D .A+BDE+CDE 9、 函数F AC BC AB 的最简与非式为( D )。 10、 函数F A B C A .B .D AC ACD AB .D 的最简与或式为 ( D )。 A .F BC AD B .F B C AD C .F AB AC BD D .F AB AC BD 11、 函数F (ABCD ) (0,1,3,4,5,7,12),约束条件为BCD ABD 0 ,其 最简与或非式是( C )。 A .F A .C AD BD B .F CD AB AD A . B . C . D . B A B A C B C B B A . C A B A . . A B C A

北邮数字逻辑期中试题与参考答案

邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级班序号 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。)1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳) 3.若对4位二进制码(B3B2B1B0)进行奇校验编码,则校验位C= B3⊕B2⊕B1⊕B0⊕1。(√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0 m m=。(╳) i j 7. CMOS门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。(√) 10.格雷BCD码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) =++g,下列说法中正确的有 B 。11.关于函数F A C BCD AB C A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD和ACD进行消除; C. 存在静态功能冒险,需要加冗余项ABD和ACD进行消除; D. 当输入ABCD从0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A⊕B和G=A⊙B满足关系D。

数字逻辑题目及答案

1.表示任意两位无符号十进制数至少需要()二进制数。 A.6 B.7 C.8 D.9 2.余3码对应的2421码为()。 A. B. C. D. 3.下列四个数中与十进制数(72)10相等的是( ) A.()2 B.()2 C.()2 D.()2 4.某集成电路芯片,查手册知其最大输出低电平UOLmax=0.5V,最大输入低电平UILmax=0.8V,最小输出高电平UOHmin=2.7V,最小输入高电平UIHmin=2.0V,则其高电平噪声容限UNH=( ) A.0.3V B.0.6V C.0.7V D.1.2V 5.标准或-与式是由()构成的逻辑表达式。 A.与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 6、对于TTL或非门多余输入端的处理,不可以()。 A、接电源 B、通过0.5kΩ电阻接地 C、接地 D、与有用输入端并联 7.下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A. 与门 B. 或门 C. 非门 D. 与非门 8.以下电路中可以实现线与功能的有()。 A.与非门 B.三态输出门 C.传输门 D.漏极开路门 9.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为()。

A.JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶校验器,需要()个异或门。A.2 B. 3 C. 4 D. 5 11.相邻两组编码只有一位不同的编码是( ) A.2421BCD码 B.8421BCD码 C.余3码 D.循环码 12.下列电路中,不属于时序逻辑电路的是( ) A.计数器 B.全加器 C.寄存器 D.RAM 13.一个4位移位寄存器,现态为0111,经右移1位后其次态为( ) A.0011或1011 B.1101或1110 C.1011或1110 D.0011或1111 14.为了将正弦信号转换成与之频率相同的脉冲信号,可采用( ) A.多谐振荡器 B.移位寄存器 C.单稳态触发器 D.施密特触发器 15.一个6位地址码、8位输出的ROM,其存储矩阵的容量为( )bit. A.64×8 B.48 C.256 D.8 16.某8位DAC,当输入全为1时,输出电压为5.10V,当输入D=()2时,输出电压为( ) A.5.10V B.2.56V C.1.28V D.都不是 17.PROM是一种__________可编程逻辑器件。( ) A.与阵列可编程、或阵列固定的

《数字逻辑与电路》复习题及答案

《数字逻辑与电路》复习题 第一章数字逻辑基础(数制与编码) 一、选择题 1.以下代码中为无权码的为CD。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为AB 。 A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是CD 。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为ABCD 。 A. (0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.与八进制数(47.3)8等值的数为:A B。 A.(100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 8.常用的BC D码有C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。(√) 2. 8421码1001比0001大。(×) 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√) 4.格雷码具有任何相邻码只有一位码元不同的特性。(√) 5.八进制数(17)8比十进制数(17)10小。(√) 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(√) 7.十进制数(9)10比十六进制数(9)16小。(×) 8.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。(√) 三、填空题

专科《数字逻辑》复习题库及答案

专科《数字逻辑》复习题库及答案 一、选择题 1. 和二进制数(1100110111.001)等值的十六进制数学是( )。 A.337.2 B.637.2 C.1467.1 D.c37.4 2. 是8421BCD 码的是( ) A.1010 B.0101 C.1100 D.1111 3. 和二进制码1100对应的格雷码是( ) A.0011 B.1100 C.1010 D.0101 4. 和逻辑式ABC A +__ 相等的式子是( ) A.ABC B.1+BC C.A D.BC A +___ 5. 若干个具有三态输出的电路输出端接到一点工作时,必须保证( ) A.任何时候最多只能有一个电路处于三态,其余应处于工作态。 B.任何时候最多只能有一个电路处于工作态,其余应处于三态。 C.任何时候至少要有两个或三个以上电路处于工作态。 D.以上说法都不正确。 6. A+B+C+__ A +A __ B =( ) A.A B.__ A C.1 D.A+B+C 7. 下列等式不成立的是( ) A. B A B A A +=+__ B.(A+B)(A+C)=A+BC C.AB+AC+BC=AB+BC D.1__ ______=+++B A AB B A B A 8. ) (F ,)6,5,4,3,2,1,0(C)B ,,F(A ==∑ 则m A.ABC B.A+B+C C.__ ____C B A ++ D. __ ____C B A 9. 欲对全班53个同学以二进制代码编码表示,最少需要二进制的位数是( ) A.5 B.6 C.10 D.53 10. 一块数据选择器有三个地址输入端,则它的数据输入端应有( )。 A.3 B.6 C.8 D.1 11. 或非门构成的基本RS 触发器,输入端SR 的约束条件是( ) A.SR=0 B.SR=1 C.1____=+R S D.0__ __=+R S 12. 在同步方式下,JK 触发器的现态Q n = 0,要使Q n+1 = 1,则应使( )。 A.J=K=0 B.J=0,K=1 C.J=1,K=X D.J=0,K=X 13. 一个T 触发器,在T=1时,来一个时钟脉冲后,则触发器( )。 A.保持原态 B.置0 C.置1 D.翻转 14. 在CP 作用下,欲使D 触发器具有Q n+1 =__n Q 的功能,其D 端应接( ) A.1 B.0 C.n Q D.__ n Q 15. 一片四位二进制译码器,它的输出函数有( ) A.1个 B.8个 C.10个 D.16个 16. 比较两个两位二进制数A=A 1A 0和B=B 1B 0,当A>B 时输出F=1,则F 的表达式是( )。 A. __ 11B A F = B.__01__01B B A A F ++= C.__ 00_________11__ 11B A B A B A F ⊕+= D. __00__11B A B A F ++= 17. 相同计数模的异步计数器和同步计数器相比,一般情况下( ) A.驱动方程简单 B.使用触发器的个数少 C.工作速度快 D.以上说法都不对 18. 测得某逻辑门输入A 、B 和输出F 的波形如下图,则F(A ,B)的表达式是( ) A.F=AB B.F=A+B C.B A F ⊕= D.__ B A F =

数字逻辑设计试题中文答案

2003数字逻辑考题 一填空题(每空1分,共15分) 1 [19]=[ 11010 ] (假设字长为5bit)Gray10 2 若X=+1010,则[X]=(00001010),[-X]=(11110110 ),(假设字长为8bit)补原 3 [26.125]=[ 1A.2 ]=[ 00100110.000100100101 ]8421BCD 10164 65进制的同步计数器至少有( 7)个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3)个触发器。 Q*?Q'工作,则JK触发器的激励方程应写为(1,1 );如果用6 要使JK触发器 按D触发器实'。Q )现这一转换关系,则D触发器的激励方程应写为( 。)在最简状态分配中,若状态数为n,则所需的最小状态变量数应为([logn]7 2个变量相异或n的个数为奇数个,则这1.W,若这n个变量中含有n 个逻辑变量A,B,C…8 )。1的结果应为( )输入的组合逻辑函数。8 4 )个(9一个256x4bit的ROM 最多能实现(18)个。条地址输入线,其内部存储单元有(210一个EPROM 有18。)(正逻辑)( A NAND B (AB)',其实现的逻辑函数为11所 示CMOS电路如图F=Fig.1+E D TT (每问二判断题2分,共10分)43F 个。2n的扭环计数器所需的触发器为n)计数模为(T 1 A T)若逻辑方程AB=ACB=C成立。成立,则2 ( F 2)一个逻辑函数的全部最小项之积恒等于1。 3 ( F B T 1与非门的未用输入端应连在高电平上。T )CMOS( 4 )Mealy型时序电路的输出只与当前的外部输入有关。( 5 F Fig.1 三(16分)1化简下列函数(共3分)6分,每题?????15,11,13,7,8,910,,FA,BC,D?,m0,23,)1 ????????145313121086DCBFA,,,?m1,,,,,?d0,,,)2

湖大数字逻辑期末试卷(A卷)试题及答案

数字逻辑(A) 2010 — 2011 学年第一学期 课程名称:___数字逻辑________ 学生姓名:___________________ 学号:___________________ 专业:____软件工程_______ 年级/班级:__________________ 课程性质:公共必修、公共选修、专业必修、专业选修 一二三四五六七八总分阅卷人签名 一、填空题(20分,每空2分) 1. (2010)D =()B = ()H = ()8421BCD 答案:(111 1101 1010)B= (7DA)H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A、B两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为。 答案:与逻辑 3. 逻辑函数式F=AB+AC的对偶式为,最小项表达式为 ∑ F( )。 =m 答案:) F D+ + A =∑ (C B )( A F(5,6,7) =m 2.逻辑函数D ' '+ ' + ' + =的最简与或式 ' + + CD C A AC AB D ABC F' ABD C 是。 答案:'D A+ 4. 从结构上看,时序逻辑电路的基本单元是。 答案:触发器 5. JK触发器特征方程为。 答案:Q '+ K JQ' 6.A/D转换的一般步骤为:取样,保持,,编码。 答案:量化 二、选择题(20分,每题2分)

1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 +U CC +U CC -U BB R R K R C R B A B C F

相关主题
文本预览
相关文档 最新文档