当前位置:文档之家› 集成电路设计实验报告

集成电路设计实验报告

集成电路设计实验报告
集成电路设计实验报告

学院:电控学院

班级:微电0901

姓名:

学号:0906090123

MOS集成运算放大器的版图设计

1.实验名称:

MOS集成运算放大器的版图设计

2.实验任务与要求:

2.1.实验任务

集成电路设计实验是微电子学生学科的实践性教学课程,任务是向学生介绍集成电路软件设计的基本知识与基本设计方法,学会使用专用软件进行集成电路设计,学习集成电路版图设计及物理验证的一般方法技巧。

2.2实验要求

本次实验要求学生完成对CMOS集成运算放大器电路的版图设计及其物理验证。

3.设计方案对比和论证确定:

3.1设计方案

3.1.1.方案一:

大尺寸的版图。即所有的器件尺寸远远超过最小值,这样在电路连接正确的基础上既不用担心版图出错,又不用担心各种距离尺寸问题。这种方案简单易上手,而且很快就做完了,除了系统上的错误之外就是正确的,但是这种方案在实际应用时非常不可取,因为大尺寸不仅制作困难,而且很费成本,所以不可取。

3.1.2方案二:

小尺寸的版图。即所有的器件尺寸基本接近最小值或者最小值的倍数,基本符合设计规则,在设计版图时要时刻DRC,防止出错,这需要认真计算尺寸,用标尺时刻做好度量工作,因为一个地方出问题很可能要出大错,所以这种方案能够保证设计的版图最符合要求,而且有可能还可以付诸于实际应用,但是这种方案需要花很长时间来画版图,所以比较费时费力。

3.2论证确定

因为前期在电路仿真时遇到了很多麻烦,再加上自身水平有限,所以大部分时间花在了电路测试电路的搭建上,所以后来经过组员的讨论论证,再考虑时间比较紧的缘故,我们决定采用折衷的方法,即在尽量符合设计规则的前提下,尽最大可能把版图尺寸缩小,基本上是第一种。

4.设计实现过程:

根据电路要求手动计算各个器件的参数;利用Cadence Virtuoso 绘制两级CMOS运算放大器的原理图,生成Symbol文件;对两级CMOS 运算放大器进行仿真,得到其增益,带宽和相位裕度;对该CMOS两级运算放大器输入方波信号,得到其摆率;应用virtuoso绘制该CMOS 两级运算放大器版图,进行DRC检查,进行LVS检查。具体过程为:恒流源偏置电路的设计,差分放大器的设计(包括输入对管的设计及有源负载对管的设计),第二级共源放大器的设计,缓冲级的设计考虑,沟道长度的选取,最后画版图。

电路图如下图所示:

各管的宽长比尺寸如下:

M1、M2:42;M3、M4:12;M5、M6:6.7;M7:13.4;M8:48

M9:13.4;M10:3.35;M11:1.47

Power电路如下:

电路图如下:

测试电路图如下:

5.验证结果说明:

仿真曲线图如下:

版图如下:

6.结论:

集成运放的特点有:高的输入电阻及共模抑制比,由多级直接耦合放大器直接耦合而成以获得足够高的电压增益,具有一定幅度的输出摆幅,输出级一般为互补对称推挽电路,具有偏置电路,用来为各级电路提供合适的静态工作点,为了使工作点稳定,一般采用恒流源偏置电路。

7.版图设计技巧:

通过合理的布局布线减小非理想因素对电路的影响,以下给出几条基本的布局原理:

①合理分布子电路:尽量将相关的模块放在一起,这样可以减小布线的复杂性,降低走线的长度。

②对称性:画版图时既要注意器件自身大小、位置的对称性,还要考虑他们周围环境的对称性。

③数模分开:数字电路、模拟电路应该尽量分开。最简单的方法是通过增大他们之间的距离来减弱衬底的耦合效应。

④高低频分开:工作在高频的射频电路和工作在较低频率的模拟电路尽量分开。

⑤电源和地分开:不同类型的电路各自使用独立的电源和地。

8.心得体会:

通过本次实验学习,我学会了电路图的画法(各种电路元件的调用)LVS、仿真、分析波形图、画版图和DRC的基本操作和规则等知识。在整个实验过程中,我们以三个人为一小组合作进行课程设计:首先提取电路图,在这方面我们基本没遇到什么问题,顺利地完成了电路图的生成;然后在计算各管的W/L比时,由于对其方面的知识不是很懂,耽误了不少时间,出现不少错误,但在我们三人查资料、问同学和老师的情况下也基本完成;再下来仿真没有多大的问题,最后是版图的设计在这一过程中遇到了很多的问题,比如:金属线之间距离太短、原图形形状画错、通孔与有源区距离太近等,归结起来主要原因时我们对其软件应用没有全面的了解。

近两周的实验学习让我明白了很多,不仅仅是学到了集成电路版图设计方面的知识,同时体会到了团队合作的重要性,一个人的力量是有限的,所谓众人拾柴火焰高,如果让我一个人单独完成这个实验,我想我基本完成不了,在此感谢帮助我的同学和老师。

9.参考文献

《集成电路设计宝典》李桂宏、谢世波主编电子工业出版社;

《模拟CMOS集成电路设计》毕查德拉扎维著陈桂灿程军张瑞智译; 西安交通大学出版社

《CMOS模拟集成电路分析与设计》吴建辉著电子工业出版社;

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

福州大学集成电路应用实验一

《集成电路应用》课程实验实验一 4053门电路综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验一 4053门电路综合实验 一、实验目的: 1.掌握当前广泛使用的74/HC/HCT系列CMOS集成电路、包括门电路、反相 器、施密特触发器与非门等电路在振荡、整形、逻辑等方向的应用。 2.掌握4053的逻辑功能,并学会如何用4053设计门电路。 3.掌握多谐振荡器的设计原理,设计和实现一个多谐振荡器,学会选取和 计算元件参数。 二、元件和仪器: 1.CD4053三2通道数字控制模拟开关 2.万用表 3.示波器 4.电阻、电容 三、实验原理: 1.CD4053三2通道数字控制模拟开关 CD4053是三2通道数字控制模拟开关,有三个独立的数字控制输入端A、B、C和INH输入,具有低导通阻抗和低的截止漏电流。幅值为4.5~20V的数字信号可控制峰-峰值至20V的数字信号。CD4053的管脚图和功能表如下所示 4053引脚图

4053的8种逻辑功能 CD4053真值表 根据CD4053的逻辑功能,可以由CD4053由4053电路构成如下图所示8种逻辑门(反相器与非门或非门、反相器、三态门、RS 触发器、——RS 触发器、异或门等)。 输入状态 接通通道

]) 2)(()(ln[ T DD T DD T DD T V V V V V V V RC T -+--=2.多谐振荡器的设计 非门作为一个开关倒相器件,可用以构成各种脉冲波形的产生电路。电路的基本工作原理是利用电容器的充放电,当输入电压达到与非门的阈值电压VT 时,门的输出状态即发生变化。因此,电路输出的脉冲波形参数直接取决于电路中阻容元件的数值。 可以利用反相器设计出如下图所示的多谐振荡器 这样的多谐振荡器输出的信号周期计算公式为: 当R S ≈2R 时,若:VT=0.5VDD ,对于HC 和HCU 型器件,有 T ≈2.2RC 对于HCT 型器件,有 T ≈2.4RC 四、实验内容: 1. 验证CD4053的逻辑功能,用4053设计门电路,并验证其逻辑功能: (1)根据实验原理设计如下的反相器电路图: CD4053构成反相器电路

2019年集成电路设计行业分析报告

2019年集成电路设计行业分析报告 2019年12月

目录 一、行业主管部门、监管体制、主要法律法规及政策 (5) 1、行业主管部门及监管体制 (5) 2、行业主要法律法规和产业政策 (5) 二、行业整体发展情况 (7) 1、集成电路行业 (7) (1)全球集成电路行业发展情况 (8) (2)我国集成电路行业发展情况 (9) 2、集成电路设计行业 (10) (1)全球集成电路设计行业发展情况 (10) (2)我国集成电路设计行业发展情况 (12) 3、集成电路存储芯片行业 (13) (1)全球存储芯片发展情况 (14) (2)我国存储芯片发展情况 (15) 4、行业发展趋势 (16) (1)行业发展趋势概况 (16) (2)行业发展的驱动力 (17) ①汽车电子的推动 (17) ②物联网市场的推动 (19) 5、国际经济环境、行业竞争格局、行业供需情况对产品价格及成本的影响 (20) (1)国际经济温和增长,发展中国家增速较快 (20) (2)行业发展进入复苏,竞争格局保持稳定 (21) (3)行业供需周期变化,未来增长因素可期 (24) 二、行业进入壁垒 (26)

2、资金和规模壁垒 (26) 3、人才壁垒 (27) 4、客户壁垒 (27) 三、影响行业发展的因素 (28) 1、有利因素 (28) (1)国家产业政策大力扶持 (28) (2)我国集成电路产业链日趋成熟 (28) (3)市场需求的有利推动 (29) 2、不利因素 (30) (1)行业竞争激烈,国内集成电路存储设计领域基础薄弱 (30) (2)设计人才匮乏,研发投入巨大 (30) 四、行业区域性、周期性和季节性特征 (30) 1、区域性 (30) 2、周期性 (31) 3、季节性 (31) 五、行业经营模式 (31) 1、IDM (31) 2、Fabless (32) 六、行业上下游之间的关系 (32) 1、上游行业对本行业的影响 (33) 2、下游行业对本行业的影响 (34) 七、行业主要企业及竞争格局 (34)

大陆本土IC设计业SWOT分析

大陆本土IC设计业SWOT分析上海科学技术情报研究所吴磊2005-08-02 关键字:IC设计 SWOT 竞争情报浏览量:52 随着近几年半导体产业在中国大陆地区的快速发展,本土IC设计业受到日益广泛的重视。IC设计业是一个国家半导体业的关键一环,也是如今信息技术时代提升国家竞争力的重要推动力。不论政府、企业,都对这个在国内真正发展不到十年的新兴行业,表现出了热切的期盼、积极的参与以及极大的投资兴趣。十年间,大陆本土IC设计产业逐步发展,到2004年约有IC设计公司近600家,主要分布于北京、上海、深圳、江苏、浙江、西安等地。数量上来说,已经超过了美国硅谷和中国台湾地区,但是,本土IC设计公司在质的成长上还远远不够。 从全球范围看,相较于拥有几十年IC设计产业发展经验的美国和中国台湾地区,大陆本土IC设计产业成长时间太短,还只处在从初创向理性发展过渡的时期。 从产业规模看,据全球IC 设计与委外代工协会(FSA)2005年3月报告统计:2004年全球IC设计产业规模达到330亿美元,比2003年增长32%。其中,美国IC设计业产值占全球比重75%,中国台湾地区居次,占20%。相比之下,大陆本土IC设计业仅占全球份额的约2%,非常少。 从公司个体看,全球IC设计第一的高通公司(Qualcomm)2004年销售收入约268亿元(约32.24亿美元),比上年增长30.7%;全球第七、中国台湾地区第一的联发科公司(MediaTek)2004年销售收入约104亿元(约12.53亿美元),比上年增长10.5%;全球排名第44位,大陆本土第一的大唐微电子公司2003年销售收入约6.2亿元,2004年估计倍增至约13亿元。三家公司的销售收入比约为21:8:1。不得不说,大陆本土IC 设计公司的竞争力还太弱。 那么,大陆本土IC设计产业的前景如何?只能说:前景是美好的,现实是残酷的。能否利用优势(Strength)、改变劣势(Weakness)、把握机会(Opportunity)、正视威胁(Threat),实现更准确的定位和制定更有利的发展战略,是把美好前景变为眼前现实的关键所在。 一、存在优势和支持 1. 巨大市场,本土比非本土更多机会 中国大陆地区经济实力持续提高、人均消费水平不断增强以及信息化浪潮大力推动等多项积极因素的影响,大陆IC市场销售规模从2000年的945亿元快速增长到2004年的约2900亿元,年复合增长率达32.4%,而且预计这种增长速度至少还将持续到2008年。其中,2004年消费电子IC约占整个市场份额的28%,即812亿元。

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

集成电路行业分析

集成电路行业分析 集成电路产业的技术水平和产业规模已成为衡量一个国家产业竞争力和综合国力的重要标志。 行业概述: 从1958年第一块集成电路发明开始,至今近60年的发展历程中,全球IC 产业经历了起源壮大于美国,发展于日本,加速于韩国以及我国台湾地区的过程,目前整个产业又有向中国大陆地区转移的迹象。 狭义集成电路行业产业链包括芯片设计、制造、封装和测试等环节,各个环节目前已分别发展成为独立、成熟的子行业。按照芯片产品的形成过程,集成电路设计行业是集成电路行业的上游。集成电路设计企业设计的产品方案,通过代工方式由晶圆代工厂商和封装测试厂商完成芯片的制造和封装测试,然后将芯片产成品作为元器件销售给电子设备制造厂商。芯片加工处于芯片产业的中游,封装测试属于芯片行业的体力活。 广义的集成电路行业产业链包括集成电路制造设备(北方华创)、加工时用的特种材料(如强力新材:专业生产晶圆生产过程用的光刻胶引发剂),以及制造本身要用的材料(如:宁波江丰电子材料股份有限公司(非上市公司)专门从事超大规模集成电路芯片制造用超高纯金属材料及溅射靶材的研发生产,南大光电主要从事光电新材料MO源的研发、生产和销售,是全球主要的MO源生产商。MO 源即高纯金属有机源,是制备LED、新一代太阳能电池、相变存储器、半导体激光器、射频集成电路芯片等的核心原材料)。

(1)集成电路设计:集成电路设计企业处于产业链上游,主要根据电子产品及设备等终端市场的需求设计开发各类芯片产品。集成电路设计水平的高低决定了芯片产品的功能、性能和成本。 (2)晶圆制造:晶圆制造是指晶圆的生产和测试等步骤。 晶圆是指硅半导体集成电路制作所用的硅晶片,由于其形状为圆形,故称为晶圆;在硅晶片上可加工制作成各种电路元件结构,而成为有特定电性功能之IC 产品。 晶圆生产是指晶圆制造厂接受版图文件(GDS 文件),生产掩膜(Mask),并通过光刻、掺杂、溅射、刻蚀等过程,将掩膜上的电路图形复制到晶圆基片上,从而在晶圆基片上形成电路。一款芯片由晶体管、电容、电阻等各种元件及其相互间的连线组成,这些元件和互连线通过研磨、抛光、氧化、离子注入、光刻、外延生长、蒸发等一整套平面工艺技术,在一小块硅单晶片上逐层制造而成。 晶圆测试(CP 测试)是指在测试机台上采用探针卡(Probe Card)并利用测试向量对每一颗裸片的电路功能和性能进行测试的过程。 (3)集成电路封装测试:经过CP 测试的晶圆再经过减薄、切割后,可以进行封装、成品测试从而形成芯片成品。 芯片封装包括包括晶圆切割、上芯、键合、封塑、打标、烘烤等过程。芯片封装使芯片内电路与外部器件实现电气连接,在芯片正常工作时起到机械或环境保护的作用,保证芯片工作的稳定性和可靠性。 成品测试是利用测试向量对已封装的芯片进行功能和性能测试的过程。经过成品测试后,即形成可对外销售的芯片产品。

集成电路系统设计实验

实验一集成电路系统EDA软件使用简介 (基础性实验) 一实验目的 1、了解利用Quartus II 8.0 软件开发数字电路的基本流程以及掌握Quartus II软件 的详细操作。 2、了解使用VHDL原理图设计进行集成电路系统设计的实现方法。 3、掌握Quartus II 8.0 软件开发数字电路的基本设计思路,软件环境参数配置,时 序仿真,管脚分配,并且利用JTAG接口进行下载的常规设计流程。 二实验前的准备 1、将红色的MODUL_SEL拨码开关组合的1、 2、8拨上, 3、 4、 5、 6、7拨下,使数码 管显示当前模式为:C1. 2、检查JTAG TO USB转换接口和USB连接线的连接,并且将JTAG线连接到核心板上的 JTAG接口(核心板的第二个十针的插口)处。 三实验要求 学习使用Quartus II 8.0软件,掌握VHDL文本描述和原理图描述的RTL级描述方法。 四实验内容 (一)了解门电路元件库 1、新建原理图设计文件,并在原理图设计文件的基础上插入各种基本门电路元件,包 括与门、或门、非门、异或门等。 2、利用原理图图形编辑窗,将基本门电路元件进行连接,形成布线。 3、为连接好的门电路组合电路添加输入和输出端口。 (二)了解逻辑电路的仿真 1、保存原理图设计文件,新建时序仿真文件。 2、将各端口的信号标出,并对其实施功能仿真或时序仿真。并将仿真波形写入实验报 告。 (三)了解原理图文件的综合和下载 1、对原理图文件进行综合和引脚连结。 2、将对应FPGA端口连接至原理图电路端口中,并将原理图文件综合后的网表文件下载 到FPGA中,进行功能验证。 3、将硬件功能情况描述记录于实验报告中。

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

数字集成电路知识点整理

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

集成电路设计实验2

集成电路设计实验报告 院别:电信学院专业:电子科学与技术 班级:电子姓名:学号:组序: 实验(二)题目名称:CMOS反相器的版图设计(PMOS、NMOS) 成绩:教师签名:批改时间: 一、实验目的: 在集成电路设计当中,集成电路设计软件的介入大大的缩短了开发周期,减小了设计风险,使得我们在设计的时候可以发现并改正电路设计上的绝大多数bug。所以说学习设计软件已经成为集成电路设计工程师的必修课。而Ledit软件以其良好的人机操作界面,以及强大的设计规则检查能力而在集成电路的设计当中充当了很重要的角色。在此次试验当中我们需要独立完成CMOS反相器的版图设计,规则检查,以及排除错误工作。从而达到比较熟练的掌握Ledit 的基本功能已经操作方法。 二、实验要求: 如将设计好的电路制成实际使用的集成块,就必须利用版图工具将设计的电路采用标准工艺文件转换成可以制造的版图。然后再将版图提交给集成电路制造厂家(foundry),完成最后的集成块制造,所以画版图的本质就是画电路原理图。 在画版图时,首先要明白工艺文件的含义,每一种工艺文件代表一条工艺线所采用的光刻尺寸,以及前后各个工序等等;其次要懂得所使用的工具步骤及各个菜单及菜单栏的内容,以便熟练使用该软件;最后对所画版图进行验证,确保不发生错误。 此外,还必须了解所使用的版图设计法则,对于不同的工艺尺寸其法则有所不同,这就要求设计者在应用该软件时,必须熟悉相应的设计法则,为完成正确的版图做准备。该实验原理是画常见的CMOS反相器,画版图时要求熟悉CMOS反相器的工艺过程及设计法则。

三、实验方法: 首先在实验一的基础上进一步熟悉L-EDIT版图设计软件的工具及工艺库,比较熟练地掌握该软件画版图的方法。以CMOS反相器为例,在前面画的PMOS、NMOS 的基础上,通过调用将他们组合到一起,再完成整个CMOS反相器的设计,设计完成后运用该软件的设计规则对所画的版图进行DRC验证,并修改不正确的部分,直至设计无错误。 四、实验内容: 1.运行L-Edit程序时,L-Edit会自动将工作文件命名为Layout1.tdb并显示在窗口的标题栏上。 2.另存为新文件:选择执行File/Save As子命令,将自己的工程文件保存在C:\DocumentsandSettings\Administrator\桌面\实验相关\Tanner\Ledit90\Samples\SPR\exam ple1中,在“文件名”文本框中输入新文件名称:NOMS。保存到example目录的原因是防止后面做剖视图的时候没法进行。 3.替换设置信息:选择执行File/Replace Setup子命令打开对话框,单击“From File”栏填充框的右侧的Browser按钮,选择C:\Documents and Settings\Administrator\桌面\实验相关\Tanner\Ledit90\Samples\SPR\example1\lights.tdb文件,如图所示,单击OK就将lights.tdb文件中的格点、图层、以及设计规则等设定应用在当前工程中。 4.画出PMOS:按照实验一的步骤,设计PMOS的版图。并进行相应的规则检查,直到没有错误。画好后如图所示:

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

数字集成电路设计与分析

问答: Point out design objects in the figure such as :design, cell, reference, port, pin, net, then write a command to set 5 to net A Design: top Reference: ADD DFF Cell: U1 U2 Port: A B clk sum Pin: A B D Q Net: A B SIN Set_load 5 [get_nets A] why do we not choose to operate all our digital circuits at these low supply voltages? 答:1)不加区分地降低电源电压虽然对减少能耗能正面影响,但它绝对会使门的延时加大 2)一旦电源电压和本征电压(阈值电压)变得可比拟,DC特性对器件参数(如晶体管 阈值)的变化就变得越来越敏感 3)降低电源电压意味着减少信号摆幅。虽然这通常可以帮助减少系统的内部噪声(如串扰引起的噪声),但它也使设计对并不减少的外部噪声源更加敏感) 问道题: 1.CMOS静态电路中,上拉网络为什么用PMOS,下拉网络为什么用NMOS管 2.什么是亚阈值电流,当减少VT时,V GS =0时的亚阈值电流是增加还是减少? 3.什么是速度饱和效应 4.CMOS电压越低,功耗就越少?是不是数字电路电源电压越低越好,为什么? 5.如何减少门的传输延迟? P203 6.CMOS电路中有哪些类型的功耗? 7.什么是衬垫偏置效应。 8.gate-to-channel capacitance C GC,包括哪些部分 VirSim有哪几类窗口 3-6. Given the data in Table 0.1 for a short channel NMOS transistor with V DSAT = 0.6 V and k′=100 μA/V2, calculate V T0, γ, λ, 2|φf|, and W / L:

西工大2017年数字集成电路设计实验课实验一

实验四 译码器的设计及延迟估算 1、 设计译码器并估算延迟 设计一个用于16bit 寄存器堆的译码器,每一个寄存器有32bit 的宽度,每个bit 的寄存器单元形成的负载可以等效为3个单位化的晶体管(后面提到负载都为单位化后的负载)。 译码器的结构可参考典型的4-16译码器 译码器和寄存器堆的连接情况(Output 输出为1的一行寄存器被选中) ① 假定4个寄存器地址位的正反8个输入信号,每个信号的输入负载可以等效为10。确定 译码器的级数,并计算相关逻辑努力,以此来确定每一级中晶体管的尺寸(相当于多少个单位化的晶体管)及整个译码电路的延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解: 96332,10int =?==ext g C C C ,9.696/10F ==? 假定每一级的逻辑努力:G=1,又因为分支努力(每个信号连接8个与非门): 81*8*1B ==, 路径努力8.7686.91=??==GFB H 所以,使用最优锥形系数就可得到最佳的电路级数39.36.3ln 8.76ln 6.3ln ln ===H N ,故N 取3级。 因为逻辑努力:2121G =??=,路径努力:6.15386.92=??==GFB H 则使得路径延时最小的门努力 36.5)6.153(3/1===N H h 。 所以: . 36.5136.5,68.2236.5, 36.5136.5132211=========g h f g h f g h f

故第一级晶体管尺寸为7.68 1036.5=?; 第二级尺寸为956.1768.27.6=?; 第三级尺寸为96244.9636.5956.17≈=?。 故延迟为:0008.22)36.5136.5436.51(p p p t t t =+++++= ② 如果在四个寄存器地址输入的时候,只有正信号,反信号必须从正信号来获得。每个正信号的输入的等效负载为20,使用与①中同样的译码结构,在这种条件下确定晶体管的大小并评估延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解:因为输入时通过两级反相器,使这两个反相器分摊原来单个反相器的等效扇出,将两级反相器等效为一级,故其逻辑努力32.236.5h ==, 故36.5,68.2,32.2,32.24321====f f f f 所以: 第一级尺寸为:()9.2832.210=?; 第二级尺寸为:728.632.29.2=?; 第三级尺寸为:03.1868.2728.6=?; 第四级尺寸为:65.9636.503.18=? 正信号通路的延迟为:()0036.2236.5136.5436.5132.2132.2p p p t t t =++++++++= 反信号通路情况与上问相同,延迟为0008.22)36.5136.5436.51(p p p t t t =+++++= 2、 根据单位反相器(NMOS:W=0.5u L=0.5u PMOS:W=1.8u L=0.5u),设计出实 际电路,并仿真1题中第一问的路径延迟。 设计出实际电路如下:

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

相关主题
文本预览
相关文档 最新文档