当前位置:文档之家› 交通控制灯

交通控制灯

交通控制灯
交通控制灯

电子技术应用实验

实验报告(九)

一、实验项目名称:交通控制灯

二、实验内容和具体要求:

1.实验内容:设计并实现一个十字路口的交通灯控制电路

2.具体要求

以4个红色指示灯、4个绿色指示灯和4个黄色指示灯模拟路口的东、南、西、北4个方向的红、绿、黄交通灯。控制这些指示灯,使它们按下列规律亮和灭。

1)东、西方向绿灯亮,南、北方向红灯亮,东、西方向通车,时间30秒;

2)东、西方向黄灯闪烁,南、北方向红灯亮,时间2秒;

3)东、西方向红灯亮,南、北方向绿灯亮,南、北方向通车,时间30秒;

4)东、西方向红灯亮,南、北方向黄灯闪烁,时间2秒。

●黄灯闪烁可通过连续亮0.5秒和灭0.5秒实现;

●设计中的时钟信号可由555多谐振荡器及分频电路产生。

三、方案论证

通过上面的实验内容可知,该交通灯控制系统的运行过程具有非常明显的周期性,整个交通灯运行一个周期持续时间为64秒,其中东、西和南、北路口路灯各亮30秒,每个路口黄灯闪烁各占用2秒。由此,本实验采用64进制计数器,以每秒钟计数器值加1的方式为交通灯控制系统的运行进行计时,并且将计数器的输出送进交通灯控制电路中,控制各路口的交通灯的运行。

交通灯控制系统框图如图1所示。

图1 交通灯控制系统框图

四、电路原理简介

1.时钟源

从“方案论证”中的描述可知,系统中的时钟源需要向后面的电路模块提供1Hz(周期T=1s)和2Hz(周期T=0.5s)的标准时钟信号,分别用于计数器计时和

黄灯闪烁。由此,时钟源电路可以使用555定时器构成多谐振荡器来提供原始高频

率时钟信号(f s>>2Hz)。之后,原始高频率时钟信号被送进时钟源内部的计数器,

由计数器对高频率时钟信号进行分频得到可供后面的电路模块使用的1Hz和2Hz

标准时钟信号。

2.计数器

该系统使用64进制计数器对系统运行情况进行计时。从系统连接复杂性和电路工作稳定性(电路延时会产生计时误差)考虑,本实验采用单片集成计数器来实

现该电路模块。常见的单片集成计数器无法单独实现64进制计数,因此,64进制

计数器模块可由多种单片集成计数器通过级联的方式来构成。

3.控制电路

64进制计数器输出结果为000000~111111,当计数时间达到30s和62s的时候,计数器会产生特殊的输出结果(011110和111110)。将计数器的这两个特殊结

果送到该控制电路中可以控制各路口黄灯是否闪烁。此外,在一个计数周期(64s )中,前32s 和后32s 计数器的最高位可以被用来控制路口的红、绿灯交替工作(前32s 最高位输出0,后32s 最高位输出1)。根据以上分析可以认为,该控制电路可以使用简单的逻辑门来完成交通灯控制任务。

五、 单元电路设计

1. 时钟源设计

时钟源使用555定时器构成多谐振荡器来提供原始高频率时钟信号(f s >>2Hz )。之后,原始高频率时钟信号被送进时钟源内部的计数器,由计数器对高频率时钟信号进行分频得到可供后面的电路模块使用的1Hz 和2Hz 标准时钟信号。

1) 555定时器电路设计

本实验使用555定时器构成多谐振荡器。多谐振荡器的特点是:没有

稳定状态,只有两个暂稳态;两个暂稳态相互交替产生自激振荡,无需

外触发;输出的信号为矩形脉冲信号,可用作时钟脉冲信号。555构成的

多谐振荡器电路如图3所示,输入输出波形如图4所示。

图2 多谐振荡器电路 图3电路输入输出波形

图3中输出矩形信号的参数为:

i.

周期:12w w T t t =+ ii.

正脉宽:11212ln 2()0.7()w t R R C R R C =+=+ iii. 负脉宽:222ln 20.7w t R C R C ==

iv. 占空比:11121212

2w w w w t t R R q T t t R R +===++ 本实验使用多谐振荡器产生50Hz 、占空比为50%的时钟信号作为后面

电路的时钟源。根据上面矩形信号参数公式可以算得多谐振荡器电路参

数为:

121.804k Ω, 144.3k Ω, 0.1μF R R C ===

2) 分频器电路设计

多谐振荡器产生50Hz 方波信号,而后续的计数器电路需要1Hz 的时

钟信号,黄灯闪烁需要2Hz 的时钟信号。因此,

多谐振荡器产生的信号还

需要通过分频器进行分频,得到最终我们需要的时钟信号。

a) 产生1Hz 时钟信号

50Hz 信号经过50分频可以得到1Hz 信号,该工作可以用50

进制计数器完成。50进制计数器可以使用5进制计数器和10进

制计数器的级联来构成。本设计使用74LS90(异步2-5-10进制

加法计数器)构成5进制计数器和10进制计数器,5进制计数

器驱动10进制计数器工作,从而在10进制计数器的最高输出位

产生1Hz 的时钟信号。74LS90构造的十进制和五进制计数器如

图2所示。

图4 用74LS90构造10进制计数器(左)和5进制计数器(右)

b) 产生2Hz 时钟信号

50Hz 信号经过25分频可以得到2Hz 信号,该工作可以用25

进制计数器完成。25进制计数器可以使用两个5进制计数器的

级联来构成。本设计使用74LS90构成5进制计数器,低位5进

制计数器驱动高位5进制计数器工作,从而在高位5进制计数器

的最高输出位产生2Hz 的时钟信号。

由于74LS90为时钟下降沿有效的计数器,故上面分频器的输出也为

时钟下降沿有效。为了能够驱动后续电路正常工作,便于检查电路时序关

系,本设计还需要将分频器产生的时钟信号进行反相处理,从而得到上升

沿有效的时钟信号。

此外,分频器产生的2Hz 信号用于黄灯闪烁,而74LS90输出的2Hz

信号占空比不是50%(由74LS90计数顺序造成),因此,74LS90输出的

2Hz 信号还需要经过一个由D 触发器组成的翻转触发器并生成最终可用的

占空比为50%的2Hz 信号。

8421BCD

5进制

最终的时钟源电路如图5所示。

图5 时钟源电路

2.计数器设计

这里使用64进制计数器为电路的工作进行计时。本实验采用单片集成计数器来实现该电路模块。常见的单片集成计数器无法单独实现64进制计数,因此,64进制计数器模块可由多种单片集成计数器通过级联的方式来构成。设计数器的输出为B5B4B3B2B1B0,则64进制计数器在一个周期内的计数值为B5B4B3B2B1B0=000000~111111。在30s~32s和62s~64s时计数器B4B3B2B1的输出为1111,而30s~32s和62s~64s为黄灯工作时间,因此中间四位B4B3B2B1可以用来控制黄灯是否工作;计数器前32个计数周期B5为0,后32个计数周期B5为1,因此最高位B5可以用来控制路口红、绿灯的工作状态。

根据以上分析,本实验采用74LS90和74LS161(四位二进制同步计数器)构成64进制计数器。两片74LS90分别产生计数输出的最低位B0和最高位B5,74LS161产生中间四位B4B3B2B1,其中最低位74LS90驱动74LS161,74LS161驱动最高位74LS90。

计数器电路如图6所示。“clk_1s”为1Hz驱动信号输入端。由于输入的时钟信号为上升沿有效,而74LS90为下降沿有效。为了让电路时序能都对齐,这里使用1个由74LS00与非门构成的非门将时钟信号反相,然后利用反相的时钟信号驱动计数器电路工作。

图6 计数器电路

3. 控制电路设计

前面的64进制计数器在一个周期内的计数值为B 5B 4B 3B 2B 1B 0=000000~111111。在30s ~32s 和62s ~64s 时计数器B 4B 3B 2B 1的输出为1111,而30s ~32s 和62s ~64s 为黄灯工作时间,因此中间四位B 4B 3B 2B 1可以用来控制黄灯是否工作;计数器前32个计数周期B 5为0,后32个计数周期B 5为1,因此最高位B 5可以用来控制路口红、绿灯的工作状态。控制电路可以使用组合逻辑电路构成。

由此,控制电路的真值表可以写出,如表1所示。

根据上表可得控制电路的逻辑表达式为:

432155

===B B B B B B ?????黄灯红灯绿灯 根据以上逻辑表达式可以设计得到控制电路如图7所示。黄灯驱动信号从“0.5s ”端口引入,驱动信号通过与否受74LS21四输入与门的输出控制,控制功能由74LS08两输入与门实现。

图7 控制电路图

4.其他电路(秒计数电路)

由于Multisim仿真速度慢,为了能够判断电路工作时序是否正确,本设计还额外增加一个用2片74LS90级联组成,用1s时钟信号驱动的秒计数器,用来产生

表示秒数的8421BCD码。当黄灯工作时,秒计数器自动清零。该部分电路如图8

所示。

图8 秒计数电路(图中每个计数器的输出从上到下为B A B B B C B D)

六、总电路图

由于该电路采用模块化设计,此处的总电路图只贴出模块化电路图,如图9所示。

本实验中的时钟源电路、计数器电路、控制电路和秒计数电路分别见图5、图6、图7和图8。在模块化电路中,各个模块的端口对应的输入和输出可以参见前面各模块的电路图。

在该模块化电路图中,SC1(CLOCK_SOURCE)为时钟源电路,SC2(COUNTER)为计数器电路,SC3(LIGHT_CONTROL)为控制电路,SC4(SEC_COUNTER)为秒计数电路。秒计数电路的输出分别用两个7段数码管显示。时钟源的0.5s时钟信号用来驱动一个指示灯,在1s的时间里亮灭各占0.5秒。

图9 模块化电路图

七、实验数据整理及结果分析

1.时钟源电路(使用图5所示的逻辑分析仪XLA1进行仿真)

图10 时钟源电路整体时序关系图

信号说明:“9”为74LS90输出的2Hz信号,“11”为74LS90输出的1Hz信号,“5”和“SC1/1s”分别为反相之后的2Hz信号和1Hz信号,“SC3/0.5s”为

经过D触发器处理后的2Hz信号。

通过以上的时序关系图可以推断,时钟源电路产生的1Hz信号(SC1/1s输出)和2Hz信号(SC3/0.5s输出)均为上升沿有效,2Hz在进过一个周期重新出现新的

上升沿的时候,1Hz信号也经过一个周期且重新出现新的上升沿。由于1Hz信号用

来计时(驱动计数器),2Hz信号用于黄灯闪烁,因此从上图的时间标尺和波形可

以推测,黄灯在经过一个0.5s亮和0.5s灭之后,计数值加1(秒数加1)。

接下来看图11所示的2Hz信号和1Hz信号的详细时序图。

图11 2Hz信号和1Hz信号详细时序图

从图11可以看出,2Hz信号半周期持续时间(即黄灯亮或灭的时间)为541.2509ms,2Hz信号周期(黄灯亮灭一个周期)T1=1.0328s,1Hz信号周期(计数器值加一之前经过的时间)T2=1.0328s,T1=T2。以上的测量数据证实了,在误差允许的范围内(电路仿真不可能100%准确),黄灯在经过一个0.5s亮和0.5s灭之后,计数值加1。由此,时钟源电路功能正常,该模块设计完成。

2.计数器电路

以下使用1kHZ标准时钟信号对计数器电路进行测试,测试电路图图如图12所示。

图12 计数器测试电路图

(使用逻辑分析仪XLA1。SC2为计数器电路,内部电路参见图6)

图13 计数器电路仿真结果

图13中蓝色竖线和黄色竖线之间的区域为两个计数周期,通过仔细分析上图可知,一个周期的计数序列B5B4B3B2B1B0=000000~111111,计数器电路设计符合预期,结果正确,该模块设计完成。

3.控制电路

红绿灯控制功能较简单,此处的仿真略过。这里仅对黄灯信号的控制部分进行仿真,仿真电路如图所示。

图14 控制电路仿真图(使用逻辑转换器XLC1进行仿真)

图15 控制电路仿真结果

图13中A~D分别对应B1~B4,最后一列的值为逻辑门输出结果。结合图14和

图15(或图7)可以看出以及可以看出,仅当B1~B4=1111时,即计时区间在30s32s

和62s64s之间时,黄灯驱动信号才允许通过。由此,控制电路功能正常,该模块

设计完成。

八、实验中遇到的问题及解决办法

(无)

九、实验结论

用555构成的多谐振荡器可以产生高精度的时钟信号作为数字电路的时间基准,通过合理使用分频器可以产生符合实际需要的时钟信号。计数器可以被用作计时器,并通过其输出控制其他电路的工作状态,这种方法非常适合于对电路工作过程有时间要求的场合,比如本实验设计的交通灯。

交通灯控制器的设计

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

交通灯控制模拟程序

交通灯控制模拟程序设计 ;*************定义数据段*********************************************** data segment title1 db 'Traffic-System' deng db '# # #' sing1 db 'South-North' sing2 db 'East - West' sing3 db 'Red time(scend):' time db 'Time:' sing4 db 'Yellow time(scend):' buff db 4 ;键盘缓冲区 buff1 db 3 dup(?) ;工作计数区 buff2 db 3 dup(?) ;数据保存区 yellow db ? ;黄灯时间 mode db 'Mode:auto(Y/N)?:' ans db ? ting3 db 'Red time(scend):030' ting4 db 'Yellow time(scend):5' ;************************主程序段********************************************** data ends code segment assume cs:code,ds:data,es:data start:mov ax,data mov ds,ax mov es,ax call title2 ;初始化标题 q0: call mode1 ;模式选择自动或手动 call scanf call atuo ; 默认设置参数启动 lea si,ans cmp byte ptr[si],'y' jz q1 cmp byte ptr[si],'n' jnz q0 call ask1 ;设置红灯时间 call scanf0 call ask2 ;设置黄灯时间

PLC实验报告(交通灯控制系统)

交通灯控制系统 一、实验目的 (1)用PLC构成十字路口交通灯控制系统。 (2)掌握程序调试的步骤和方法。 (3)掌握构建实际PLC控制系统的能力。 二、实验要求 (1)复习PLC常用指令的功能及用法。 (2)复习PLC程序设计的一般方法。 (3)根据实验要求提前编写程序,待上机验证调试修改。 三、实验环境 软件:STEP 7-Micro_WIN V40+ SP9:S7-200的编程软件 STEP 7-Micro_WIN V32指令库 硬件:THSMS-2A型PLC实验箱(西门子)、电脑、连接导线、USB-PPI 通信电缆 四、实验内容及步骤 交通灯控制系统面板图如上图所示,控制要求如下: 交通信号灯受一个总控制开关控制,当总控制开关接通时,信号灯系统开始工作。 开始工作后,南北红灯和东西绿灯同时点亮,4秒后东西绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成东西黄灯亮,2秒后东西黄灯和南北红灯同时熄灭,东西红灯和南北绿灯同时点亮。4秒后南北绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成南北黄灯亮,2秒后南北黄灯和东西红灯同时熄灭,再次切换成南北红灯和东西绿灯同时点亮。如此循环,周而复始。 当总控制开关断开时,所有信号灯都熄灭。

(1)确定I/O点数。列出详细的I/O地址分配表。如(该表仅为举例, (3)输入编好的PLC控制程序。 (4)运行程序,按控制要求设置各输入量,观察PLC运行情况,记录南北、东西各灯顺序亮、灭的运行情况。调试程序直至正确为止。 解:由题目要求得,

②梯形图如下图①,语句表如下图②,时序图如下图③: 图①图① 图②图② 图③ 五、注意事项

十字路口交通灯控制设计

网络教育学院 《可编程控制器》大作业 题目:十字路口交通灯控制设计 学习中心:辽宁彰武电大学习中心 层次:高中起点专科 专业:电力系统自动化技术 年级: 2015 年秋季 学号: 151524228206 学生姓名:陈润泽

题目五:十字路口交通灯控制设计 起动后,南北红灯亮并维持30s。在南北红灯亮的同时,东西绿灯也亮,东西绿灯亮25s后闪亮,3s后熄灭,东西黄灯亮,黄灯亮2s后,东西红灯亮,与此同时,南北红灯灭,南北绿灯亮。南北绿灯亮25s后闪亮,3s后熄灭,南北黄灯亮,黄灯亮2s后,南北红灯亮,东西红灯灭,东西绿灯亮。依次循环。 十字路口交通灯控制示意图及时序图如下图所示。 设计要求:(1)首先对可编程序控制器(PLC)的产生与发展、主要性 能指标、分类、特点、功能与应用领域等进行简要介绍; (2)设计选用西门子S7-200 系列PLC,对其I/O口进行分配, 并使用STEP7-MicroWIN编程软件设计程序梯形图(梯形图 截图后放到作业中); (3)总结:需要说明的问题以及设计的心得体会。

1 设计背景 1.1 背景概述 本文对十字路口交通信号灯控制系统,运用可编程逻辑器件PLC做了软件与硬件的设计,能基本达到控制要求。系统仅实现了小型PLC系统的一个雏形,在完善各项功能方面都还需要进一步的分析、研究和调试工作。如果进一步结合工业控制的要求,形成一个较为成型的产品,则需要作更多、更深入的研究。 1.2 可编程逻辑控制器简介 可编程逻辑控制器(Programmable Logic Controller,简称 PLC) 根据国际电工委员会(IEC)在1987年的可编程控制器国际标准第三稿中,对其作了如下定义:“可编程控制器是一种数字运算操作的电子系统,专为在工业环境应用而设计的。它采用可编程序的存储器,用来在其内部存储执行逻辑运算,顺序控制,定时,计数与算术运算等操作的指令,并通过数字式、模拟式的输入和输出,控制各种类型的机械或生产过程。可编程控制器及其有关外部设备,都应按易于使工业控制系统形成一个整体,易于扩充其功能的原则设计。”可编程控制器作为目前工业自动化的重要基础设备,被称为“工业自动化三大支柱性产业之一”,在各工业生产领域发挥着愈来愈大的作用。 2 十字路口交通信号灯PLC控制系统简介 2.1 控制对象及要求 2.1.1 控制对象 本系统的控制对象有八个,分别是: 东西方向红灯(R—EW)两个; 南北方向红灯 (R—SN) 两个; 东西方向黄灯(Y—EW)两个; 南北方向黄灯 (Y—SN) 两个; 东西方向绿灯(G—EW)两个;

交通灯控制系统

1选题背景 今天,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。在交通中管理引入单片机交通灯控制代替交管人员在交叉路口服务,有助于提高交通运输的安全性、提高交通管理的服务质量。并在一定程度上尽可能的降低由道路拥挤造成的经济损失,同时也减小了工作人员的劳动强度。 关键词:AT89C51;7448,LED 2方案论证 2.1设计任务 设计基于单片机的智能交通红绿灯控制系统,要求能通过按键或遥控器设置系统参数,系统运行时,“倒计时等信息”能通过数码管或点阵发光管显示,设计时应考虑交通红绿灯控制的易操作性及智能性。以单片机的最小系统为基础设计硬件,用汇编语言、或C语言设计软件。通过本设计可以培养学生分析问题和解决问题的能力,掌握Mcs51单片机的硬件与软件设计方法,从而将学到的理论知识应用于实践中,为将来走向社会奠定良好的基础。 东西(A)、南北(B)两干道交于一个十字路口,各干道有一组红、黄、绿三个指示灯,指挥车辆和行人安全通行。红灯亮禁止通行,绿灯亮允许通行,黄灯亮时车辆及行人小心通过。红灯的设计时间为45秒,绿灯为40秒,黄灯为5秒。 2.2 方案介绍 方案1设计思想: 采用分模块设计的思想,程序设计实现的基本思想是一个计数器,选择一个单片机,其内部为一个计数,是十六进制计数器,模块化后,通过设置或程序清除来实现状 态的转换,由于每一个模块的计数多不是相同,这里的各模块是以预置数和计数器计 数共同来实现的,所以要考虑增加一个置数模块,其主要功能细分为,对不同的状态输 入要产生相应状态的下一个状态的预置数,如图中A道和B道,分别为次干道的置数选 择和主干道的置数选择。 方案2 设计思想: 由两个传感器监视南北方向即A道与东西方向即B道的车辆来往情况,设开关K=1 为有车通过,K=0为没有车通过。则有以下四种情况: Ka=1时:Kb=0,表示A有车B没有车,则仅通行B道:

基于单片机的交通灯控制系统

基于单片机的交通灯控制系统 一、实验目的 1、了解交通灯的控制方法 2、掌握8051单片机基本操作 3、掌握keil和PrOteuS软件的使用 二、实验原理 通过对十字路口的观察,发现红绿灯的控制原理:首先南北方向右转加直行的绿灯亮起。此时,东西方向为红灯;当右转加直行绿灯倒计时进入最后5秒, 绿灯切换为黄灯并开始闪烁,东西方向红灯不变;接着南北方向切换为左转灯,东西方向依然是红灯;同样当倒计时进入最后5秒时,黄灯开始闪烁。东西方向为红灯。然后东西方向的右转加直行绿灯亮起,以此类推。 三、实验内容及程序 主程序: void main (VOid)

Busy_LED=O; SPeCiaLLED=O; ITO=1; //INTO 负跳变触发 TMOD=O X OI;//定时器工作于方式1 TH0=(65536-50000)∕256;// 定时器赋初值TL0=(65536- 50000)%256; EA=1; //CPU开中断总允许 ET0=1;//开定时中断 EX0=1;//开外部INTO中断 TR0=1;// 启动定时 while(1) { Flag_EW_Yellow=0; //EW关黄灯显示信号 Time_EW=EW; Time_SN=SN; WhiIe(Time_SN>=5) {P仁S[0]; /∕SN 通行,EW红灯 DiSPIay();} P仁0x00; WhiIe(Time_SN>=0 ) {Flag_SN_Yellow=1; EW_Red=1; /∕SN //SN开黄灯信号位 黄灯亮,等待左拐信号,EW红灯 DiSPIay(); } Flag_SN_Yellow=0; /∕SN关黄灯显示信号Time_SN=SNL; WhiIe(Time_SN>=5) {P仁S[2];//SN左拐绿灯亮,EW红灯 DiSPIay();} P仁0x00; WhiIe(Time_SN>=0 ) {Flag_SN_YeIIow=1; EW_Red=1; /∕SN //SN开黄灯信号位 黄灯亮,等待停止信号,EW红灯 DiSPIay(); }

交通灯控制模拟程序

交通灯控制模拟程序设计 data segment title1 db 'Traffic-System' deng db '# # #' sing1 db 'South-North' sing2 db 'East - West' sing3 db 'Red time(scend):' time db 'Time:' sing4 db 'Yellow time(scend):' buff db 4 ;键盘缓冲区 buff1 db 3 dup(?) ;工作计数区 buff2 db 3 dup(?) ; 数据保存区 yellow db ? ; 黄灯时间 mode db 'Mode:auto(Y/N)?:' ans db ? ting3 db 'Red time(scend):030' ting4 db 'Yellow time(scend):5' ?************************ J data ends code segment assume cs:code,ds:data,es:data start:mov ax,data mov ds,ax mov es,ax call title2 ; 初始化标题 q0: call mode1 ; 模式选择 自动或手动 call scanf call atuo ; 默认设置参数启动 lea si,ans cmp byte ptr[si],'y' jz q1 cmp byte ptr[si],'n' jnz q0 call ask1 ;设置红灯时间 call scanf0 call ask2 ;设置黄灯时间 ?************* 定义数据段 *********************************************** 主程序段 **********************************************

实验3 模拟交通灯控制实验

综合实验一:模拟交通灯控制实验 一、实验目的 1、了解时间常数的计算方法。 2、了解80C51中断的工作机理、过程,掌握中断服务程序的编制。 3、掌握80C51单片机内部定时/计数器的工作方式选择,初始化程序的设置以及中断服务子程序的设计。 二、实验内容 编写模拟交通灯运行控制程序。要求红绿灯亮灯延时时间为30秒,黄灯亮灯延时时间为10秒。利用二位八段LED显示器进行时间显示。时间显示采用倒计时的方式。时间归零时信号进行切换。 三、实验要求 掌握单片机内部通用资源如中断、定时计数器、串行口及并行端口的应用。 时间的延时必须采用定时器定时中断方式进行,定时器0或定时器1可任选一个(默认为0)。编程时请充分考虑定期器的时间扩展问题。 利用二位八段LED显示器进行时间显示,显示码采用串行口模式0进行输出。 显示方式及相应的程序编写请参考实验一。显示部分的详细接线原理及对应的显示码参考图15。 掌握中断系统的基本概念及使用方法。如:中断被响应所需的必要条件;一个完整的中断响应过程;中断优先级的概念 信号灯的控制由并行口P0口输出进行快关控制。 四、实验线路图 图为交通灯控制接线示意图,除红绿黄六路交通灯外,还需要用到两个八段LED显示器,用于显示交通灯时间显示,显示码采用串行口模式0进行输出。 图为八段显示器接线原理图,具体说明了串行口工作模式0的硬件线路。由于开发板一有6个八段显示器,在实验过程中除正常计时的两个八段LED外,将其余四个灭掉。

图交通灯控制接线示意图 图八段显示器接线原理图五、程序及注释 ORG 0000H

ORG 000BH ;定时器计数器T0中断矢量 AJMP T0INT ORG 0030H MAIN: MOV TMOD,#01H ;设定时器/计数器T0为方式1,T1为方式0 MOV SCON,#00H MOV SP,#60H ;设堆栈指针SP为60H SETB TR0 ;启动定时器/计数器T0 SETB PT0 ;T0中断为高优先级 SETB ET0 ;允许定时器/计数器T0中断 SETB EA ;开中断 MOV TL0,#0B0H ;设T0时间常数为100ms MOV TH0,#3CH ;ORG 0100H RED: SETB ;设置灯的初始状态 CLR CLR CLR CLR SETB MOV R0,#30 ;红绿灯亮30秒 MOV R1,#10 ;黄灯亮10秒 LOOP1:MOV A,R0

AT89C52单片机控制交通灯系统

目录 1 交通信号灯控制系统设计任务和性能指标 (1) 设计任务 (1) 性能指标 (1) 2 交通信号灯控制系统设计方案 (1) 设计思路 (1) 设计思路 (1) 功能设计 (2) 总体设计 (2) 通行方案设计 (2) } 硬件设计方案 (4) 软件设计方案 (4) 3 交通信号灯控制系统硬件设计 (5) 系统硬件框图 (5) 单元电路设计 (5) 单片机最小系统 (5) 信号灯显示电路 (7) 倒计时显示电路 (8) 按键操作电路 (8) 4 交通信号灯控制系统程序设计 (8) - 理论基础知识 (8) 定时器原理 (8) 软件延时原理 (9) 中断原理 (9) 主程序框图 (9) 5 调试分析及所用器件 (10) 调试环境 (10) 所用芯片 (11) 6 心得体会 (11) 7 参考文献 (12) | 8 附录 (13) 附件1 程序清单 (13)

附件2 系统仿真图 (16) 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89C52为中心器件来设计交通信号灯控制器,系统实用性强、操作简单、扩展性强。本设计系统就是由单片机最小系统、交通灯状态显示系统、LED 数码显示系统、复位电路和按键操作电路等几大部分组成。系统除具有基本的交通信号灯功能外,还具有倒计时、时间调整和紧急情况处理等功能,较好的模拟实现了十字路口可能出现的状况。 \ 软件上采用KEIL C 编程,主要编写了主程序,LED数码管显示程序,中断程序,延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 关键字:AT89C52 交通灯PROTUES 中断程序

51交通灯控制系统

MCS-51单片机课程设计报告 ——交通灯控制系统 姓名:朱正威 学号:110901418 指导老师:潘峰 东华大学信息学院自动化系 2014.6.22

目录 一、项目概述 (3) 二、系统设计 (3) 1.设计思想 (3) 2.方案可行性分析 (3) 3.总体方案 (3) 三、硬件设计 (5) 1.单片机最小系统部分 (5) 2.LED数码管串行显示部分 (5) 3.独立按键部分 (5) 四、软件设计 (6) 1.软件设计思想 (6) 2.程序流程图 (6) 3.程序清单 (7) 五、系统仿真及调试 (13) 六、结果与展望 (15) 七、参考文献 (15)

一、项目概述 项目所要设计的是交通灯控制系统,十字路口交通灯由红、绿两色LED显示器(两位8段LED显示器)组成,LED显示器显示切换倒计时,以秒为单位,每秒更新一次;为确保安全,绿LED计数到0转红,经5秒延时(显示红色0)后,另一道开始绿色倒计时。 1)主干道(A道)先通行且通行时间为45s;(加5秒红灯延时,共50秒) 2)支道(B道)通行时间为25 s;(加秒红灯延时,共30秒) 3)主道与支道的车辆交错通行; 4)若遇紧急情况,按开关时,主道与支道都为红灯20 s 5)根据实时交通堵塞情况人为控制时,按K2时,主道延时30 s通行,按K3 时,支道延时30 s通行。 设计以AT89C51为核心的控制电路,并编写相关的系统软件。 二、系统设计 1.设计思想 该模拟交通灯控制系统采用模块化结构,主要分为定时器中断控制、按键扫描、LED数码管显示三个部分。在设计完成一个方向上的红绿灯数码管计时后,再进行两个方向红绿灯的切换显示以及特殊情况下的处理。 2.方案可行性分析 采用AT89C51作为系统主控芯片,其包含两个定时器中断T0和T1、两个外部中断,以及4个I/O端口P0到P3端口。本系统采用其定时器T1进行定时,每隔一定时间定时中断一次,在中断程序内进行变量自加,以此可以设置任意长的定时时间。如设置1s的定时改变红绿灯LED数码管显示值,设置0.2m的按键扫描周期进行按键定时扫描。为了节省I/O口资源以及硬件连接上的方便,采用串口显示芯片MAX7219进行LED数码管显示部分的设计。 整个方案设计结构清晰明了,硬件连接简洁,软件编程模块清晰,经实践验证,此方案可行。 3.总体方案 在Proteus环境中进行硬件电路的设计和搭建,具体硬件电路设计如图1、2所示。在Keil环境下进行软件设计51单片机编程,为定时器中断和MAX7219串口显示和主函数部分分别建立相应的源文件和头文件,进行模块化编程。

实验三-交通灯控制实验

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□√设计□创新实验日期:实验成绩: 实验三交通灯控制实验 一.实验目的 掌握十字路口交通灯控制方法。 二.实验内容 利用系统提供的双色LED 显示电路,和四位静态数码管显示电路模拟十字路口交通信号灯。4 位LED 数码管显示时间,LED 显示红绿灯状态。 三.实验要求 根据实验内容编写一个程序,并在实验仪上调试和验证。 四.实验说明和电路原理图 交通信号灯控制逻辑如下:假设一个十字路口为东西南北走向。开始为四个路口的红灯全部亮之后,东西路口的绿灯亮,南北路口的红灯亮,东西路口方向通车,延时一段时间后(20 秒),东西路口的绿灯,闪烁若干次后(3 秒),东西路口的绿灯熄灭,同时东西路口的黄灯亮,延时一段时间后(2 秒),东西路口的红灯亮,南北路口的绿灯亮,南北路口方向通车,延时一段时间后(20 秒),南北路口的绿灯闪烁若干次后(3 秒),南北路口的绿灯熄灭,同时南北路口的黄灯亮,延时一段时间后(2 秒),再切换到东西路口的绿灯亮,南北路口的红灯亮,之后重复以上过程。 双色LED 是由一个红色LED 管芯和一个绿色LED 管芯封装在一起,共用负极,当红色正端加高电平,绿色正端加低电平时,红灯亮;红色正端加低电平,绿色正端加高电平时,绿灯亮;两端都加高电平时,黄灯亮。 本实验需要用到CPU 模块(F3 区)、静态数码管/双色LED 显示模块(B4 区) 实验框图如图:

五.实验预习要求 学习教材的相关内容,根据实验要求画出程序流程图,写出实验程序。 六.实验步骤 1)系统各跳线器处在初始设置状态。 P10 同时接G1、G3;P11 同时接R1、R3;P1.2 同时接G2、G4;P1.3 同时接R2、R4;P1.6、P1.7 分别接静态数码显示的DIN、CLK。 2)启动PC 机,打开THGMW-51 软件,输入源程序,并编译源程序。编译无误后,下载程序运行。 3)观察十字路口交通灯效果。 七.实验程序

十字路口交通灯控制 C 程序

/************************************ ***************** 十字路口交通灯控制C 程序 ************************************* *****************/ #define uchar unsigned char #define uint unsigned int #include /*****定义控制位**********************/ sbit Time_Show_LED2=P2^5;//Time_Show_LED 2控制位 sbit Time_Show_LED1=P2^4;//Time_Show_LED 1控制位 sbit EW_LED2=P2^3; //EW_LED2控制位sbit EW_LED1=P2^2; //EW_LED1控制位sbit SN_LED2=P2^1; //SN_LED2控制位sbit SN_LED1=P2^0; //SN_LED1控制位sbit SN_Yellow=P1^6;//SN黄灯 sbit EW_Yellow=P1^2;//EW黄灯 sbit EW_Red=P1^3;//EW红灯 sbit SN_Red=P1^7;//SN红灯 sbit EW_ManGreen=P3^0;//EW人行道绿灯 sbit SN_ManGreen=P3^1;//SN人行道绿灯 sbit Special_LED=P2^6;//交通正常指示灯 sbit Busy_LED=P2^7;//交通繁忙指示灯sbit Nomor_Button=P3^5;//交通正常按键 sbit Busy_Btton=P3^6;//交通繁忙按键sbit Special_Btton=P3^7;//交通特殊按键sbit Add_Button=P3^3;//时间加 sbit Reduces_Button=P3^4;//时间减 bit Flag_SN_Yellow; //SN黄灯标志位bit Flag_EW_Yellow;//EW黄灯标志位char Time_EW;//东西方向倒计时单元 char Time_SN;//南北方向倒计时单元uchar EW=60,SN=40,EWL=19,SNL=19; //程序初始化赋值,正常模式 uchar EW1=60,SN1=40,EWL1=19,SNL1=19;//用于存放修改值的变量 uchar code table[10]={0x3F,0x06,0x5B,0x4F,0x66,0x6D, 0x7D,0x07,0x7F,0x6F};//1~~~~9段选码uchar code S[8]={0X28,0X48,0X18,0X48,0X82,0X84,0 X81,0X84};//交通信号灯控制代码 /**********************延时子程序************************/ void Delay(uchar a) { uchar i; i=a; while(i--){;} } /*****************显示子函数**************************/ void Display(void) { char h,l; h=Time_EW/10; l=Time_EW%10; P0=table[l]; EW_LED2=1; Delay(2); EW_LED2=0; P0=table[h]; EW_LED1=1; Delay(2); EW_LED1=0; h=Time_SN/10; l=Time_SN%10; P0=table[l]; SN_LED2=1; Delay(2); SN_LED2=0; P0=table[h]; SN_LED1=1; Delay(2); SN_LED1=0;

交通灯控制程序

1引言 (2) 1.1编写目的 (2) 1.2背景 (2) 1.3定义 (2) 1.4参考资料 (2) 2总体设计 (2) 2.1需求规定 (2) 2.2运行环境 (2) 2.3基本设计概念和处理流程 (3) 2.4结构 (3) 2.5功能器求与程序的关系 (3) 2.6人工处理过程 (3) 2.7尚未问决的问题 (3) 3接口设计 (3) 3.1用户接口 (3) 3.2外部接口 (3) 3.3内部接口 (4) 4运行设计 (4) 4.1运行模块组合 (4) 4.2运行控制 (4) 4.3运行时间 (4) 5系统数据结构设计 (4) 5.1逻辑结构设计要点 (4) 5.2物理结构设计要点 (4) 5.3数据结构与程序的关系 (4) 6系统出错处理设计 (5) 6.1出错信息 (5) 6.2补救措施 (5) 6.3系统维护设计 (5)

概要设计说明书 1引言 1.1编写目的 创建一个可供日常交通灯使用的程序,目标读者为交通灯控制人员。 1.2背景 说明: a.交通路口复杂交通信号灯的设计 b.任务提出者,开发者:陈磊 用户:交通指挥系统 设计环境:使用Verilog HDL语言进行设计 使用Quartus 2编程环境进行开发。。 1.3定义 ORDER 选择信号 ROAD 亮灯控制信号 EN5 5秒延时使能信号 LIN5 5秒延时终止信号 EN25 25秒延时使能信号 LIN25 25秒延时终止信号 RST 系统复位信号 CLK 系统时钟信号 1.4参考资料 Verilog HDL数字系统设计与应用

2总体设计 2.1需求规定 输入项目: CLK 系统时钟信号 RST 系统复位信号 输出项目: ROAD 亮灯控制信号,ROAD=00,红灯亮;ROAD=01,黄灯亮;ROAD=10,绿灯亮;ROAD=11,黄灯亮。 处理要求: 根据ORDER的不同值,使相应的灯发亮。 2.2运行环境 运行环境:。Quartus II 9.0 2.3基本设计概念和处理流程

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

十字路口交通灯控制

十字路口交通灯控制 一、实训目的 1.熟练使用各基本指令,根据控制要求,掌握PLC的编程方法和程序调试方 法,使学生了解用PLC解决一个实际问题的全过程。 2.掌握比较指令的使用方法。 3.根据电气控制要求绘制出时序图。 三、实验控制要求 信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始工作,且先东西绿灯亮, 南北红灯亮。当启动开关断开时,所有信号灯都熄灭。 东西红灯亮维持25秒。南北绿灯亮维持20秒,然后闪亮3秒后熄灭。同时南北黄灯亮,维持2秒后熄灭,这时南北红灯亮,东西绿灯亮。 南北红灯亮维持25秒。东西绿灯亮维持20秒。到20秒时,东西绿灯闪亮,闪亮3秒后熄灭。在东西绿灯熄灭时,东西黄灯亮,并维持2秒。到2秒时,东西黄灯熄灭,东西红灯亮,同时,南北红灯熄灭,绿灯亮,周而复始。

四、时序图 五、I/O分配表和电路图

六、控制电路 七、操作步骤 1、检查实训设备中器材及调试程序。 2、按照I/O 端口分配表或接线图完成PLC 与实训模块之间的接线,将PLC 的DI 输入端中的1M 、2M 公共端接到公共端的L+端,将PLC 的DO 输出端中的1L 、2L 、3L 公共端接到公共端的L+端,实训挂箱的COM 端接到公共端的M 端。认真检查,确保正确无误。 3、打开示例程序或用户自己编写的控制程序,进行编译,有错误时根据提示信息修改,直至无误,用PC/PPI 通讯编程电缆连接计算机串口与PLC 通讯口,打开PLC 主机电源开关,下载程序至PLC 中,下载完毕后将PLC 的“RUN/STOP ”开关拨至“RUN ”状态。 4、拨动启动开关SD 为ON 状态,观察并记录东西、南北方向主指示灯及各方向人行道指示灯点亮状态; 5、尝试编译新的控制程序,实现不同于示例程序的控制效果。

模拟交通灯控制实验

实验报告 课程名称:微机原理与接口技术 指导老师:彭勇刚 成绩:__________________ 实验名称:_______________________________实验类型:________________同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 模拟交通灯控制实验 一、实验目的 1、了解时间常数的计算方法。 2、了解80C51中断的工作机理、过程,掌握中断服务程序的编制。 3、掌握80C51单片机内部定时/计数器的工作方式选择,初始化程序的设置以及中断服务子程序的设计。 二.实验内容和实验原理 编写模拟交通灯运行控制程序。要求红绿灯亮灯延时时间为30秒,黄灯亮灯延时时间为10秒。利用二位八段LED 显示器进行时间显示。时间显示采用倒计时的方式。时间归零时信号进行切换。 实验线路图如下图:装 订 线

除红绿黄六路交通灯外,还需要用到两个八段LED显示器,用于显示交通灯时间显示,显示码采用串行口模式0进行输出 三.实验器材: 1. Micetek仿真器一台 2. 实验板一块 四.程序及实验结果: 调试通过的.LST文件如下: ORG 0000H 0000 0130 AJMP MAIN ORG 000BH ;定时器T0的中断矢量 000B 21A3 AJMP T0INT ;跳转到中断服务程序 ORG 0030H MAIN: 0030 758901 MOV TMOD,#01H ;写控制字,T0为方式1; 0033 759800 MOV SCON,#00H 0036 758160 MOV SP,#60H ;设堆栈指针SP为60H 0039 D28C SETB TR0 ;启动T0; 003B D2B9 SETB PT0 ;T0中断为高优先级 003D D2A9 SETB ET0 ;允许T0中断 003F D2AF SETB EA ;开放CPU中断 0041 758AB0 MOV TL0,#0B0H ;定时常数为100ms 0044 758C3C MOV TH0,#3CH ORG 0100H RED: ;红灯 0100 D280 SETB P0.0 ;设置输出的初始状态 0102 C281 CLR P0.1 0104 C282 CLR P0.2 0106 C283 CLR P0.3 0108 C284 CLR P0.4 010A D285 SETB P0.5 010C 781E MOV R0,#30 ;红灯亮30s 010E 790A MOV R1,#10 ;黄灯亮10s 0110 E8 LOOP1:MOV A,R0 0111 120166 LCALL SHOW ;转移到数码显示子程序 0114 3000FD WAIT1:JNB 20H.0,WAIT1 ;数码管计数间隔为1s 0117 C200 CLR 20H.0 0119 D9F9 DJNZ R1,WAIT1 011B 790A MOV R1,#10 011D 18 DEC R0 ;红灯从30s开始倒计时 011E B800EF CJNE R0,#00H,LOOP1

C51单片机的交通灯控制系统

基于C51单片机的交通灯控制系统 1、实验方案论证: 进行十字路口的交通信号灯控制电路设计,画出电路原理图及实验电路图,进行软件编程、以及使用说明文档的建立等一整套工作任务。进行十字路口的交通信号灯控制程序设计,提交一个符合上述功能要求的十字路口的交通信号灯控制系统设计。 2、控制流程分析: 对设计要求进行分析后可得出以下交通工作状态表: 3、硬件设计概要: 根据设计要求,可用6个LED灯分别模拟东西、南北的交通灯。具体接法如下:AT89C51的P1口接LED灯,P1.0、P1.1、P1.2分别接东西方向红、绿、黄交通灯,P1.3、P1.4、P1.5分别接南北方向的红、绿、黄交通灯。P1口和LED 灯之间要接限流保护电阻。两位数码管段选接P2口,位选接P0口低两位,P0口低两位接上拉电阻使其可以输出高电平。紧急情况按钮一端接地,另一端与外中断1引脚相连;恢复正常按钮一端接地,另一端与外中断0引脚相连。

三、原理图设计 1、LED显示部分电路设计: 把单片机AT89C51的P1口作为红黄绿灯显示部分,用6个LED灯分别模拟东西、南北的交通灯。P1.0、P1.1、P1.2各通过一个300Ω的限流保护电阻接东西方向的红、绿、黄LED灯;P1.3、P1.4、P1.5各通过一个300Ω的限流保护电阻接南北方向的红、绿、黄LED灯。LED灯的一端接电源,另一端经电阻接P1口,因此当P1口引脚输出低电平时LED灯发光,即此方案采取低电平驱动方式。具体电路如下: 2、紧急情况处理电路设计: 紧急情况按钮一端接地,另一端与外中断1引脚相连;恢复正常按钮一端接地,另一端与外中断0引脚相连。在程序设计时,我会将其设置为下降沿触发方式。具体电路如下:

交通灯控制实验

交通灯控制实验 学院:信息技术学院班级:计07_2 第一完成人:王双卿学号:200707111093 第二完成人:王万昭学号:200707111078 第三完成人:张博学号:208070711104 第四完成人:曹然学号:208070711166 第四完成人:张伟学号:208070711173 实验目的:综合运用8259A,8253,8255A三个接口芯片,学会它们之间的配合使用实验内容:如下图所示,L7,L6,L5作为南北路口的交通灯,与PC7,PC6,PC5相 连;L2,L1,L0作为东西路口的交通灯,与PC2,PC1,PC0相连,编程使六个交通灯,按交通灯变化规律,循环点亮或熄灭。 实验中各个芯片间的连线:

程序流程图:

实验程序: data segment count dw 0 data ends stack segment stack ‘stack’ db 100 dup(?) stack ends code segment main proc far assume cs:code,ds:data,es:data,ss:stack start: mov ax,stack mov ss,ax mov ax,data mov ds,ax mov al,00110101B ;8253控制字:通道0,先写低字节,后写高字节,方式2,二进制计数mov dx,283h out dx,al ;写入控制字 mov al,00h

mov dx,280h ;先送出低字节 out dx,al mov al,50h ;后送出高字节 out dx,al mov al,01110111b ;8253控制字:通道1,先写低字节,后写高字节,方式3,二进制计数mov dx,283h out dx,al ;写入控制字 mov al,00h mov dx,281h ;先送出低字节 out dx,al mov al,20h ;后送出高字节 out dx,al mov al,10000000b ;8255A控制字: mov dx,28bh ;写入控制字 out dx,al mov al,ofh ;得到原中断向量 mov ah,35h int 21h push es ;存储原中断向量 push bx push ds mov dx,offset qq ;设置中断向量 mov ax,seg qq mov ds,ax mov al,0fh mov ah,25h int 21h pop ds in al,21h ;设置中断屏蔽位 and al,7fh out 21h,al sti wai: mov ah,1 int 16h jz wai pop dx pop ds mov al,0fh mov ah,25h

(整理)交通灯控制系统.

实训四交通灯控制系统 当今,社会上的汽车越来越多,并且交通事故愈演愈烈,为了要减少此类的事情的发生,必须加强道路的管理。因此、合理设计交通灯控制系统可以大大减少此类事情发生。 一、系统功能要求: 1.设计任务在一十字路口设置交通灯,并用单片机对其进行合 理的控制。时间方向控制要求 2.白天东西绿灯黄灯红灯南北红灯绿灯黄灯夜晚东 西黄灯南北黄灯 2.总体设计方案现在流行的一种设计为两主干线相交的十字 路。本设计采用一主干道(南北方向),一从干道(东西方向)的路口,即主干道的通行时间为从干道的2倍。在正常情况 下,两干道的交通灯按图1进行转换,并以倒计数的方式将 剩余时间显示在每个干道对应的两位LED上;另发挥部分为 当出现紧急情况时,路口的交通灯全为红灯,紧急情况解除 时,恢复到原来的状态。 二、总体设计方案提示: 1.假设一个十字路口为东西南北走向。初始状态0为东西红灯, 南北红灯。然后转状态1东西绿灯通车,南北红灯。过一段 时间转状态。 2.东西绿灯灭,黄灯闪烁几次,南北仍然红灯。再转状态。 3.南北绿灯通车,东西红灯。过一段时间转状态。 4.南北绿灯灭,闪几次黄灯,延时几秒,东西仍然红灯。最后 循环至状态1。 三.硬件设计 电路原理图如下:

G 1 F 2COM 3A 4B 5E 6 D 7COM 8C 9DP 10U3 8LED 1011 12 131415 16G 1 F 2COM 3A 4B 5E 6D 7COM 8C 9DP 10U4 8LED 1011 12 131415 16G 1F 2COM 3A 4B 5E 6 D 7COM 8C 9DP 10U7 8LED 1011 12 131415 16G 1 F 2COM 3A 4B 5E 6D 7COM 8C 9DP 10U8 8LED 1011 12 131415 16G 1 F 2COM 3A 4B 5E 6D 7COM 8C 9DP 10U5 8LED 1011 12 131415 16G 1F 2COM 3A 4B 5E 6 D 7COM 8C 9DP 10U6 8LED 1011 12 131415 16G 1 F 2COM 3A 4B 5E 6 D 7COM 8C 9DP 10U9 8LED 1011 12 131415 16G 1 F 2COM 3A 4B 5E 6 D 7COM 8C 9DP 10U10 8LED 101112 131415 16212221222324232421 2223 2410111213141516 D7 红D9 绿 D8 黄 1 2 3 D1 红 D3 绿D2 黄1 2 3 D10 红 D12 绿D11 黄4 56D4 红 D6 绿 D5 黄4 5 6Y16Mhz C3 30p C2 30p vcc S2S3 1A12 1A241A361A48 2A1112A2132A3152A4171Y1181Y2161Y3141Y4122Y192Y272Y352Y4 3 1G 12G 19 V C C 20 G N D 10 U1 74LS244R7330 R8330 R9330 R1 330 R2 330R3 330R10 330 R11 330R12330R4330R5330R6330 123456 R14200 R151K C4 22uF S1 vcc R16 1K R171K VCC INT0INT1INT0 INT1VCC P20P21P22P23 P20P21P22P23123456789 J2 1 2J1VCC R131K D13LED VCC C1 103EA/VP 31X119X218RESET 9 RD 17WR 16 INT012INT113T014 T115P101P112P123P134P145P156P167P178 P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P27 28 PSEN 29 ALE/P 30TXD 11RXD 10V C C 40 G N D 20 U28051 VCC INT1 四、软件设计

相关主题
文本预览
相关文档 最新文档