当前位置:文档之家› 西邮学生毕设

西邮学生毕设

西安邮电学院

毕业设计(论文)题目:QPSK调制器的设计

学院:电子工程学院

系部:光电子技术系

专业:电子科学与技术

班级:科技0705

学生姓名:吴建建

导师姓名:郑燕职称:副教授

起止时间:2011年3月28日至2011年7月1日

西安邮电学院

毕业设计(论文)任务书

学生姓名吴建建指导教师郑燕职称副教授

学院电子工程学院系部光电子技术系

专业电子科学与技术

题目QPSK调制器的设计

任务与要求

任务:

1.掌握FPGA的工作原理;

2.利用FPGA完成QPSK调制器的设计;

3.完成毕业论文的撰写。

要求:

1 了解VHDL语言及其编程;

2 熟悉QUARTUS 2软件,并且会运用;

3 有一定的数字系统设计能力;

4 熟悉通信原理的相关知识。

开始日期2011年3月28日完成日期2011年7月1日

主管院长(签字) 年月日

西安邮电学院

毕业设计 (论文) 工作计划

学生姓名吴建建指导教师郑燕职称副教授

学院电子工程学院系部光电子技术系

专业电子科学与技术

题目QPSK调制器的设计

工作进程

起止时间工作内容

2011.3.28-2011.4.3阅读相关资料,写出开题报告。

2011.4.4-2011.5.1

阅读指导教师提供的参考资料;复习VHDL语言、

QUARTUSII软件、数字通信相关知识;掌握QPSK调

制器的设计方法;学习相关实验设备的使用。

2011.5.2-2011.6.12应用VHDL语言设计QPSK调制器,利用QUARTUSII

软件对所设计的电路进行仿真验证。

2011.6.13-2011.7.1撰写毕业设计论文,准备毕业答辩。

主要参考书目(资料)

1. 郑燕,赫建国,党剑华编著:《基于VHDL语言与QuartusII软件的可编程器件的应用与开发》,国防工业出版社

2. 潘松,黄继业编著:《EDA技术与VHDL》,清华大学出版社

3. 侯伯亨,顾新编著:《VHDL硬件描述语言与数字逻辑电路设计》(修订版),西安电子科技大学出版社

4. 罗朝霞,高书莉编著:《CPLD/FPGA设计及应用》人民邮电出版社

5. 樊昌信编著:《通信原理》,国防工业出版社

主要仪器设备及材料

微机一台及相应的仿真平台

论文(设计)过程中教师的指导安排

每周固定进行一次设计指导。学生如果需要,可以随时联系指导教师以获取所需要的帮助。

对计划的说明

西安邮电学院

毕业设计(论文)开题报告

电子工程学院光电子技术系

电子科学与技术专业 07 级 05班课题名称:QPSK调制器的设计

学生姓名:吴建建学号:05072151

指导教师:郑燕

报告日期:2011年3月31日

1.本课题所涉及的问题及应用现状综述

QPSK是英文Quadrature Phase Shift Keying的缩略语简称,意为正交相移键控,是一种数字调制方式。它分为绝对相移和相对相移两种。由于绝对相移方式存在相位模糊问题,所以在实际中主要采用相对移相方式QDPSK。它具有一系列独特的优点,目前已经广泛应用于无线通信中,成为现代通信中一种十分重要的调制解调方式。在数字信号的调制方式中QPSK四相移键控是最常用的一种卫星数字信号调制方式,它具有较高的频谱利用率、较强的抗干扰性、在电路上实现也较为简单。在实际的调谐解调电路中,采用的是非相干载波解调,本振信号与发射端的载波信号存在频率偏差和相位抖动,因而解调出来的模拟I、Q基带信号是带有载波误差的信号。这样的模拟基带信号即使采用定时准确的时钟进行取样判决,得到的数字信号也不是原来发射端的调制信号,误差的积累将导致抽样判决后的误码率增大,因此数字QPSK解调电路要对载波误差进行补偿,减少非相干载波解调带来的影响。

2.本课题需要重点研究的关键问题、解决的思路及实现预期目标的可行性分析

本课题为应用VHDL语言设计QPSK调制器。所以此系统的设计应结合可编程逻辑器件的实现难易进行考虑,尽量减少不必要的工作量。

例如: QPSK的产生方法有相位选择法以及直接调相法两种。相比于用可编程逻辑器件直接调相法产生调制信号,相位选择法明显运算简单,因此选用相位选择法。下图为QPSK相位选择调制原理图。

3.完成本课题的工作方案

(1)数字系统设计总流程:

VHDL 按要求对系统进行描述,然后综合、仿真、适配,当确认设计符合要求时,再将设计映射至实际的逻辑器件中,设计流程如下图所示。

图3 设计流程图

(2)功能模块设计:

a.载波信号的产生,调制模块设计;

b.解调模块设计;

c.整体模块设计。

4.指导教师审阅意见

指导教师(签字): 年 月 日

说明:

本报告必须由承担毕业论文(设计)课题任务的学生在毕业论文(设计) 正

VHDL 输入

编程下载

时序仿真 硬件测试

综合

适配

式开始的第1周周五之前独立撰写完成,并交指导教师审阅。

西安邮电学院毕业设计 (论文)成绩评定表

学生姓名吴建建性别男学

05072151

专业

班级

科技0705

课题名称QPSK调制器的设计课题

类型实际应

毕业设计(论

文)时间2011年3月28日~7月1日

指导教师郑燕(职称副教授)

课题任务完成情况论文 (千字);设计、计算说明书 (千字);图纸 (张);其它(含附件):

指导教师意

见分项得分:开题调研论证分;课题质量(论文内容)分;创新分;

论文撰写(规范)分;学习态度分;外文翻译分

指导教师审阅成绩:指导教师(签字):年月日

评阅教师

意见分项得分:选题分;开题调研论证分;课题质量(论文内容)分;创新分;论文撰写(规范)分;外文翻译分

评阅成绩:评阅教师(签字):年月日

见分项得分:准备情况分;毕业设计(论文)质量分;(操作)回答问题分验收成绩:验收教师(组长)(签字):年月日

分项得分:准备情况分;陈述情况分;回答问题分;仪表分答辩成绩:答辩小组组长(签字):年月日成绩计算方法

(填写本院系实用比例)

指导教师成绩20(%) 评阅成绩30(%) 验收成绩20(%) 答辩成绩30(%)

学生实得成绩(百分制)

指导教师成绩评阅成绩验收成绩

答辩成绩总评

意见

毕业论文(设计)总评成绩(等级):

院答辩委员会主任(签字):学院(签章)

年月日

西安邮电学院毕业论文(设计)成绩评定表(续表)

摘要---------------------------------------------------------------------------------------------------------------------------I ABSTRACT .......................................................................................................................................... I I 引言 .. (1)

1 绪论 (2)

1.1FPGA和CPLD概述 (2)

1.2VHDL硬件描述语言简介 (3)

1.3QUARTUS II简介 (4)

1.4调制原理简述 (5)

2 QPSK调制基本原理 .................................................................................... 错误!未定义书签。

2.1QPSK简介.................................................................................................... 错误!未定义书签。

2.2QPSK调制基本原理 (6)

3 QPSK调制系统的建模与设计 (10)

3.1QPSK调制电路的VHDL建模与程序设计 (10)

3.1.1 QPSK调制电路的建模 (10)

3.1.2 QPSK调制程序及注释 (10)

3.1.3 QPSK调制仿真波形 (12)

4 结论 (28)

致谢 (29)

参考文献 ........................................................................................................... 错误!未定义书签。附录 (18)

在实际的通信中,基带信号在信道中传输是很难实现的,解决该传输的方法是必须使用基带信号控制载波波形的某些参量,使上述参量随基带信号的变化而变化,这就是调制。数字通信系统通常有二进制和多进制调制之分。而多进制相比于二进制主要有以下特点:信道的频带利用率更加高,因此在有限的信道频带内,能够传输更加高速的数据。

本文主要阐述了QPSK调制以及解调系统的设计方法,主要介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制系统的建模与设计。设计过程中采用了相位选择法,载波选用方波,由此显得通俗易懂,并且较易实现。QUARTUSⅡ环境下的综合仿真结果表明,此方案实现了QPSK的调制功能,从而验证了设计的正确性。

关键词:现场可编程门阵列四相相移键控信号调制解调

Abstract

This article focus on the design of QPSK modulation and demodulation system.

Many of the actual communication channel can not directly transmit baseband signal, so we must use baseband signal to control certain parameters of the carrier wave, so that these parameters change with the base-band signal, it use to be called modulation. Digital communication system is divided into binary digital modulation and multi-band modulation. The latter than the former has the following characteristic: high utilization of channel bandwidth. Thus we can transmit high speed data in the limited channel band. With the rapid development of EDA technology, using large-scale programmable logic device CPLD / FPGA to producing has expanded in various fields. And the application of EDA technology in the field of communication is an inevitable trend with the development of modern communication systems. This article introduces the theory of QPSK modulation and demodulation, and implement the modeling and design of QPSK modulation and demodulation system based on FPGA. In the design, I used phase selection method and square wave carrier, making the design simple and easy to implement. The comprehensive simulation results under QUARTUS Ⅱ environment show that this solution achieved QPSK modulation and

demodulation functions to verify the correctness of the design.

Keywords:FPGA QPSK modulation demodulation

引言

现代通信技术的不断向前发展,使得通信技术中的调制解调也越来越完善,更加快捷高速是目前技术的主要表现。由于模拟调制本身存在的许多不足,使得数字通信技术优点更加突显。在数字传输系统中数字信号在带通信道中传输,基带信号对载波进行调制是必不可少的关键,该过程中形成的数字调制信号再进行传输。因而,系统的通信质量,在很大程度上依赖于所采用的调制方式。所以,研究数字通信调制理论,提供有效调制方式,对于通信技术的不断改进有着重大意义。

数字调制的基本原理是用基带信号去控制载波的某参量,使得此参量随基带信号的变化而变化。如:控制载波的幅度,称为振幅键控(ASK)调制;控制载波的频率,称为频移键控(FSK)调制;控制载波的相位,称为相移键控(PSK)调制;联合控制载波的幅度及相位两个参量,称为正交幅度调制(QAM)。带通型数字调制有二进制及M进制之分。在实际的频带传输系统中,鉴于信道的频率资源不是无限的,从而有效利用信道频带是很重要的,希望尽量提高信道频带的利用率:在有限的信道频带内,传输高速数据。为此,必须采用M进制数字调制方式,将高速的二进制码经过M进制数字调制后,使已调信号频带达到给定的限带要求。

MPSK,多进制相移键控,是一种常用的多进制数字调制方式,其中QPSK是其中的调制方式之一。其基本的调制原理是对输入的二进制数字序列分组,每k=2个比特构成一组,对应于四进制符号之一(M=错误!未找到引用源。)。然后根据组合情况,用载波的4种相位表征它们。

文章运用QPSK的调制解调基本原理,基于FPGA对调制与解调系统进行简易的建模与设计,用VHDL语言编程,利用QUARTUSⅡ开发环境进行编译、综合仿真,实现QPSK调制解调电路的设计并且对仿真结果进行分析。

1 绪论

1.1FPGA和CPLD概述

随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师更愿意自己设计专用集成电路(ASIC)芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件(Field PLD)。FPGA与CPLD便是其中应用最广泛的两种。

FPGA是现场可编程门阵列(Field Programmable Gate Array)的英文缩写,所谓现场可编程,是指用户在自己的工作室内编程。由于门阵列中每个节点的基本器件是门,用门来组成触发器进而构成电路和系统,其互连远比PLD的与、或加触发器的结构复杂,所以在构造FPGA时改用了单元结构。即在阵列的各个节点上放的不再是一个单独的门,而是用门、触发器等做成的逻辑单元,或称逻辑元胞(Cell),并在各个单元之间预先制作了许多连线。所谓编程,就是安排逻辑单元与这些连线之间的连接关系,依靠连接点的合适配置,实现各逻辑单元之间的互连。所以严格地说,FPGA不是门阵列,而是逻辑单元阵列,它与门阵列只是在阵列结构上相似而已。

CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件,它是在简单的可编程逻辑器件(SPLD)基础上发展起来的。SPLD的基本结构主体是“与阵列”和“或阵列”。前者为若干与门构成的阵列,用来产生逻辑函数的乘积项;后者为若干或门构成的阵列,由与阵列产生的各乘积项通过或阵列相加,成为所需要的逻辑函数输出。由于任何逻辑函数都可以用“与—或”表达式来描述,所以该“与或阵列”结构能实现任意组合逻辑电路。

由于CPLD和FPGA构造上的差别,它们随都是可编程逻辑器件,但还是有所不同,其主要区别为:1.布线能力:CPLD内连率高,不需要人工布局布线来优化速度和面积,较FPGA更适合于EDA芯片设计的可编程验证。2.时延预测能力:CPLD连续式布线结构决定时序延时是均匀的和可预测的,FPGA分段式布线结构决定了不可预测时间延迟。3.集成度的不同:CPLD:500 ~ 50000门,FPGA:1K ~ 10M 门;FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。4.应用范围的不同:CPLD逻辑能力强而寄存器少,适用于控制密集型系统;FPGA逻辑能力较弱但寄存器多,适于数据密集型系统。

CPLD和FPGA的优点:1.规模越来越大,实现功能越来越强,同时可以实现系统集成。2.研制开发费用低,不承担投片风险,使用方便。3.通过开发工

具在计算机上完成设计,电路设计周期短。4.不需要设计人员了解很深的IC知识,EDA软件易学易用。5.通过FPGA和CPLD开发的系统成熟后,可以进行ASIC设计,形成批量生产。

1.2 VHDL硬件描述语言简介

VHDL(Very-High-Speed Integrated Circuit HardwareDescription Language, 超高速集成电路硬件描述语言)诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。目前,VHDL和Verilog作为iEEE的工业标准硬件描述语言,得到众多EDA公司支持,在电子工程领域,已成为事实上的主要通用硬件描述语言。

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

与其他硬件描述语言相比,VHDL具有以下特点:

VHDL具有功能强大的语言结构,可以用简洁明确的源代码来描述复杂的逻辑控制。它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言所不能比拟的。VHDL还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。由于VHDL已经成为IEEE 标准所规范的硬件描述语言,目前大多数EDA工具几乎都支持VHDL,这为VHDL的进一步推广和广泛应用奠定了基础。在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL易读和结构化,所以易于修改设计。VHDL具有多层次的设计描述功能,既可以描述系统级电路,又可以描述门级电路。而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。另外,VHDL支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。VHDL支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。设计人员用VHDL进行设计时,不需要首先考虑选择完成设计的器件,就可以集中精力进行设计的优化。当设计描述完成后,可以用多种不同的器件结构来实现其功能。VHDL是一种标准化的硬件描述语言,同一个设计描述可以被不同的工具所支

持,使得设计描述的移植成为可能。VHDL采用基于库(Library)的设计方法,可以建立各种可再次利用的模块。这些模块可以预先设计或使用以前设计中的存档模块,将这些模块存放到库中,就可以在以后的设计中进行复用,可以使设计成果在设计人员之间进行交流和共享,减少硬件电路设计。与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

1.3 QUARTUS II简介

Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHD L、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix 上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工具与Mat lab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。

Quartus平台与Cadence、ExemplarLogic、MentorGraphics、Synopsys和Synpli city等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。支持M AX7000/MAX3000等乘积项器件。

1.4调制解调原理简述

时域定义:调制就是用基带信号去控制载波信号的某个或几个参量的变化,将信息荷载在其上形成已调信号传输;解调是调制的反过程,通过具体的方法从已调信号的参量变化中将恢复原始的基带信号。

频域定义:调制就是将基带信号的频谱搬移到信道通带中或者其中的某个频段上的过程,而解调是将信道中来的频带信号恢复为基带信号的反过程。

根据所控制的信号参量的不同,调制可分为:1.调幅,使载波的幅度随着调制信号的大小变化而变化的调制方式。2.调频,使载波的瞬时频率随着调制信号的大小而变,而幅度保持不变的调制方式。3.调相,利用原始信号控制载波信号的相位。

调制的目的是把要传输的模拟信号或数字信号变换成适合信道传输的信号,这就意味着把基带信号(信源)转变为一个相对基带频率而言频率非常高的带通信号。该信号称为已调信号,而基带信号称为调制信号。调制可以通过使高频载波随信号幅度的变化而改变载波的幅度、相位或者频率来实现。调制过程用于通信系统的发端。在接收端需将已调信号还原成要传输的原始信号,也就是将基带信号从载波中提取出来以便预定的接受者(信宿)处理和理解的过程。该过程称为解调。

2 QPSK调制与解调基本原理

2.1 QPSK简介

四相相移键控信号简称“QPSK”。它分为绝对相移和相对相移两种。由于绝对相移方式存在相位模糊问题,所以在实际中主要采用相对移相方式QDPSK。它具有一系列独特的优点,目前已经广泛应用于无线通信中,成为现代通信中一种十分重要的调制解调方式。

QPSK是英文Quadrature Phase Shift Keying的缩略语简称,意为正交相移键控,是一种数字调制方式。

早在本世纪初人们就了解通讯的重要性。从电子时代初期开始,随着技术的不断发展,本地通讯与全球通讯的之间壁垒被打破,从而导致我们世界变得越来越小,人们分享知识和信息也更加容易。贝尔和马可尼可谓通讯事业的鼻祖,他们所完成的开拓性工作不仅为现代信息时代奠定了基础,而且为未来电讯发展铺平了道路。

传统的本地通讯借助于电线传输,因为这既省钱又可保证信息可靠传送。而长途通讯则需要通过无线电波传送信息。从系统硬件设备方面考虑这很方便省事,但是从传送信息的准确性考虑,却导致了信息传送不确定性增加,而且由于常常需要借助于大功率传送设备来克服因气象条件、高大建筑物以及其他各种各样的电磁干扰。

各种不同类型的调制方式能够根据系统造价、接收信号品质要求提供各种不同的解决方案,但是直到不久以前它们大部分还是属于模拟调制范畴,频率调制和相位调制噪声小,而幅度调制解调结构要简单的多。最近由于低成本微控制器的出现以及民用移动电话和卫星通信的引入,数字调制技术日益普及。数字式调制具有采用微处理器的模拟调制方式的所有优点,通讯链路中的任何不足均可借助于软件根除,它不仅可实现信息加密,而且通过误差校准技术,使接收到的数据更加可靠,另外借助于DSP,还可减小分配给每个用户设备的有限带宽,频率利用率得以提高。

如同模拟调制,数字调制也可分为频率调制、相位调制和幅度调制,性能各有千秋。由于频率、相位调制对噪声抑制更好,因此成为当今大多数通讯设备的首选方案。

2.2 QPSK调制解调基本原理

QPSK又叫四相绝对相移调制,它是一种正交相移键控。

QPSK利用载波的四种不同相位来表征数字信息。由于每一种载波相位代表两

个比特信息,因此,对于输入的二进制数字序列应该先进行分组,将每两个比特编为一组,然后用四种不同的载波相位来表征。我们把组成双比特码元的前一信息比特用a代表,后一信息比特用b代表。双比特码元中两个信息比特ab通常是按格雷码排列的,它与载波相位的关系如表2-1所示,矢量关系如图2-1所示。图2-1(a)表示A方式时QPSK信号矢量图,图2-1(b)表示B方式时QPSK信号的矢量图。

由于正弦和余弦的互补特性,对于载波相位的四种取值,在A方式中:45°、135°、225°、315°,则数据、通过处理后输出的成形波形幅度有两种取值±kIkQ2/2;B方式中:0°、90°、180°、270°,则数据、通过处理后输出的成形波形幅度有三种取值±1、0。

表2-1 双比特码元与载波相位关系

图2-1 QPSK信号的矢量图

下面以A方式的QPSK为例说明QPSK信号相位的合成方法。串/并变换器将输入的二进制序列依次分为两个并行序列,然后通过基带成形得到的双极性序列(从D/A转换器输出,幅度为±2/2)。设两个双极性序列中的二进制数字分别为a和b,每一对ab称为一个双比特码元。双极性的a和b脉冲通过两个平衡调制器分别对同相载波及正交载波进行二相调制,得到图1-2中虚线矢量,将两路输出叠加,即得到QPSK调制信号,其相位编码关系如表2-2所示。

相关主题
文本预览
相关文档 最新文档