当前位置:文档之家› 多路电压信号采集与显示系统

多路电压信号采集与显示系统

多路电压信号采集与显示系统
多路电压信号采集与显示系统

多路电压信号采集与显示系统

目录

一、设计任务与要求 (1)

二、方案论证与比较 (2)

1.1 控制电路单片机的选择 (2)

1.2 显示模块的选择 (3)

1.3 AD采样模块的选择 (3)

1.4数据选择模块的选择 (3)

三、系统硬件设计与理论计算 (4)

3.1系统的总体设计 (4)

3.2单元电路的设计与理论设计 (4)

3.2.1前端输入调理 (4)

3.2.2键盘显示模块的设计 (6)

3.2.3稳压电源的设计 (7)

3.3系统的总原理图 (8)

四、系统软件设计 (8)

4.1系统的总体程序流程框图 (8)

4.2单元电路的子程序 (8)

4.3程序清单 (9)

五、系统测试 (9)

5.1系统测试方案 (9)

5.2测试仪器 (9)

5.3测试结果与分析 (9)

六、总结 (10)

参考文献 (11)

附录(电路图及有关设计文件)

附录一电路总原理图

附录二程序清单

附录三元器件清单

附录四电路的实物图

多路电压信号采集与显示系统

摘要:本系统以C8051F410单片机为系统的控制核心,有输入信号调理模块、控制模块、键盘显示模块和稳压电源模块组成。利用C8051F410单片机内部自带的12位模/数转换和一个27通道单端输入多路选择器,并利用CH452控制的键盘显示模块,设计一个八路模拟信号电压采集与显示系统,其中A/D转换结果经过C8051F410单片机处理,最后通过数码管显示相应的数值。并通过按键可以选择指定通道进行测量,并在四位数码管上显示相应的数字,实现了“手动指定通道”功能。读数据准确,测量方便。本系统经测试,各项指标均达到设计要求。

关键词:C8051F410模/数转换键盘显示

引言:单片机自20世纪70年代问世以来,以其极高的性能价格比,深受人们的重视和关注,应用很广、发展很快。而现在的消费类产品、通讯类产品、仪器仪表、工业测控系统中,逐渐形成了以一个或多个单片机组成的比较简单、方便和科学的智能控制系统。单片机的应用从根本上改变了控制的传统设计思想和设计方法。以前才用硬件电路实现的大部分控制功能,基本上都可以用单片机通过软件来实现。本设计利用C8051F410单片机内部自带的一个12位SAR ADC(模/数转换器)和一个27通道单端输入多路选择器,该ADC得最大转换速率为200ksps。ADC系统包括一个可编程的模拟多路选择器,用于ADC的输入。代替了AD00809

模/数转换器和CD4051单端8通道数字控制模拟电子开关,同样可以实现电路检测精度。将多路被测模拟量转化成数字量,并用数字方式显示测量结果。

一、设计任务与要求

设计制作一个用于8路模拟信号采集与显示的系统,测试其具体参数并完成报告。要求:

1.、被测模拟量为8路不同值的电压信号,电压范围为:

两路:0~1V;

两路:0~5V;

两路:0~15V;

两路:0~30V;

2、每路电压信号的幅值变化率不大于0.5伏/分钟;

3、主控芯片建议采用C8051F410单片机;

4、检测精度要求不小于千分之一;

5、各路模拟量的值,要求具有“自动循环显示”的功能和显示“手动指定通道”的功能

6、键盘和显示部分建议采用如下两个方案之一:

(1)采用CH452来设计;

(2)采用LCD12864或LCD1602等液晶屏和矩阵键盘模块来设计;

7、要求采用220V工频交流供电,为系统设计合适的稳压电路;

8、为了便于测试,输入端口建议采用SIP9插针,从上到下量程逐渐增加,最下面一根插针为接地;

9、建议采用模块化设计,各模块用螺丝统一固定至一块较大的绝缘板上;

二、方案论证与比较

1.1控制器模块的选择

方案一:AT89S51单片机作为控制芯片,ADC0809芯片来实现模/数转换。AT89S51单片机是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,AT89S51 单片机作为控制电路的芯片。ADC0809芯片是美国国家半导体公司生产的CMOS工艺8通道,8位逐次逼近式A/D模数转换器。其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8路模拟输入信号

中的一个进行A/D转换。同样可以满足电路要求,但是电路比较复杂,设计繁琐,不易控制。故不能采用此方案。

方案二:C8051F410单片机作为数/模转换和电路控制芯片,C8051F410器件是完全集成的低功耗混合信号片上系统型MCU。C8051F410单片机具有高速、流水线结构的8051微控制器内核、4个通用的16位定时器、两个12位电流输出DAC、2304字节内部数据RAM存储器、数字外设24个I/O端口和模拟外设一个12位SAR ADC和一个27通道单端输入多路选择器,该ADC得最大转换速率为200ksps。ADC系统包括一个可编程的模拟多路选择器,用于ADC 的输入。由于C8051F410中带有12位的A/D转换器、高速8051微控制器内核,用C8051F410单片机代替了AT89S51单片机和CD4051电子开关,足够满足电路中的精度要求,而且电路简单容易控制。另外C8051F410单片机相比于AT89S51单片机即经济又简单。相比较方案一来说方案二电路更加简单,因此选用了此方案。

经比较,为了更好的满足电路设计要求,控制器模块选用方案二。

1.2显示模块的选择

方案一:采用数码管显示。这种方案控制起来比较简单,而且数码管具有低能耗、耐老化和精度比较高等优点。虽然数码管只能显示数字,本题要求实时循环显示8路不同值的电压信号来实现“自动循环显示”功能。由于本设计只要求显示输出电压值,所以数码管完全可以满足电路设计要求,而且性价比高。

方案二:采用LED点阵显示。LED只能显示非常有限的符号和数字,人机交互界面不够人性化,显示位数太多时电路比较复杂,显示效果不好,而且不易编程。

方案三:采用点阵型LCD显示。LCD可以显示输出信号的波形、类型、幅度、频率、和频率步进值等,显示更美观大方,且显示的信息更多,人机交互界面更人性化,界面显示采用控制器12864的点阵型LCD显示。12864是一种图形点阵液晶显示器,它主要由行驱动器/列驱动器及128×64 全点阵液晶显示器组成。可完成图形显示,也可以8×4 个(16×16 点阵)汉字。显示效果好,但是本设计只要求显示不同值的电压值,所以采用点阵型LCD显示有点大材小用,性价比不高,而且编程比较繁琐。

经比较,在满足电路要求的情况下性价比高者优选,故显示模块选用方案一。

1.3AD采样模块的选择

方案一:利用ADC0809进行AD采样与转换,ADC0809是采样频率为8位的、以逐次逼近原理进行模/数转换的器件。其内部有一个8通道多路开关,

它可以根据地址码锁存译码后的信号,只选通8个单断模拟输入信号中的一个进行A/D转换。其计算时间100us每次,而且耗能大,还要外接时钟输入,芯片的成本也高而且面积较大。

方案二:直接利用C8051F410单片机上的12位ADC进行采样,不需要外接时钟,也不需要外界的ADC芯片,节能环保,而且其采样频率快计算速度也快,该ADC得最大转换速率为200ksps。

经比较,为了更好实现电路要求、降低成本,AD采样模块采用方案二。

1.4 数据采集模块的选择

方案一:利用CD4051进行八路数据选择。CD4051是单端8通道数字控制模拟电子开关,当选择某一通道时CD4051接通,此时CD4051接入电路相当于一个电阻,导致输入信号电压减小,不稳定,影响电路检测精度。

方案二:直接利用C8051F410单片机上的一个27通道单端输入多路选择器进行数据选择。由于模拟信号电压直接接入C8051F410单片机的模/数转换接口,模拟信号电压不会有任何变动,检测精度较高,减少电路的元器件,节约成本,提高性价比。

经比较,为满足电路的检测精度,故数据采集模块采用方案二。

三、系统硬件设计与理论计算

3.1系统的总体设计

本系统以C8051F410单片机为控制核心,有输入信号调理模块、控制模块、键盘显示模块和稳压电源模块组成。本设计利用C8051F410单片机内部自带的一个12位SAR ADC(模/数转换器)和一个27通道单端输入多路选择器,并利用CH452控制的键盘显示模块,设计的一个八路模拟信号电压采集与显示系统,其中8路的输入电压信号经过C8051F410单片机模数转换和处理,最后通过数码管显示相应的数值。并通过按键可以选择指定通道进行测量,在四位数码管上显示相应的数字。

图1 系统的总设计框图

3.2单元电路的设计与理论设计

3.2.1 前端输入信号调理

如图2 所示,由于8路被测模拟量的电压信号值各不相同,需要对输入信号进行处理,处理不当则会影响电路的检测精度和稳定度。8路各不相同的电压均控制在3V 内,直接输出到C8051F410单片机的模数转换接口,因为单片机的最高识别电压为3V ,这样单片机内的寄存器将被顶满,这样滑动变阻器有旋转变化空间。 所以两路量程为0-1V ,采用LM358进行3倍放大(最高电压为3V ),直接输入C8051F410单片机进行模数转换,输出的电压先进行3倍衰减而后输出有数码管显示;两路:0-5V 、两路:0-15V 和两路:0-30V ,均衰减到3V (最高电压为3V),直接输入C8051F410单片机进行模数转换,输出的电压需要进行各自的衰减程度进行放大,然后输出有数码管显示。

两路量程为0-1V ,采用LM358进行3倍放大(0~3V )。LM358里面包括有两个高增益、独立的、内部补偿频率的双运放,适用于电压范围很宽的单电源而且也适用于双电源。电路中就只利用双电源供电的同相比例运算放大器来实现3倍放大,其中同相输入的比例系数:

1o

1R R U U A f i uf +

== 其中uf A =3,所以

1

R R f =2

C8051F410 控制模块

8 路电压输入

8路电压处理

数码管显示

矩阵键盘

稳压电源

令1R =5K ?,,则f R =10K ?

两路量程为:0~5V ;利用电阻对其进行5/3倍的衰减,将量程衰减到0~3V ,其中电阻的计算公式:

665R R R +=3

5

令5R =2.2K ?,则6R =3.3K ?

两路量程为:0~15V ;利用电阻对其进行5倍衰减,将量程衰减到0~3V ,其中电阻的计算公式:

10

10

9R R R +=5 令9R =22K ?,则10R =5.5K ?

两路:0~30V ;利用电阻对其进行10倍衰减,将量程衰减到0~3V ,利用的是分压公式:

0U U i =14

14

13R R R + 其中电阻的计算公式:

14

14

13R R R +=10 令13R =27K ?,则14R =3K ?

2

345

5

432Title

Size B Date:File:112

23

34

455667

7889

9

10

10

111112121313141415151616171718181919202021212222232324

24

252526262727282829293030313132

32

A D P11V REF

P13P14P15P16P17

DA P01P02P03P04P05P06P07P 20P 21P 22P 23P 24P 25P 26P 27VIO C2CK VBAK X4X3

GND

VDD VREGIN

8051F 410

IC1R15K

R210K

R310K

R45K

R52.2k

R63.3k

R7

2.2k

R8

3.3k

R922k

R105.5k

R1122k

R125.5k

R1327k

R143k

R1527k

R163k

115515153030D

J1+5

+5

12J2+5

12

J3+3.3

1234

J4

+3.3

12345

J5

+5

C10.1UF

C 4.7UF

D1R 270+5

V +

V -IC2A

LM358

V +

V -IC2B

LM358

图2 前端输入调理电路

3.2.2 键盘与显示模块的设计

本设计中采用了一个由CH452控制的4×4矩阵键盘,其中包括0~7的8路通道选择数字键,以及单元显示和循环显示的切换键、2个显示切换键等功能键,可同时选择2路或多路通道。显示器采用7段共阴数码管,配合通道选择开关,可在LED 上同时显示1路或多路数据。键盘显示控制采用键盘/显示控制专用芯

片CH452。CH452 是数码管显示驱动和键盘扫描控制芯片。CH452内置时钟振荡电路,可以动态驱动8 位数码管或者64 只LED ,具有BCD 译码、闪烁、移位、段位寻址、光柱译码等功能;同时还可以进行64键的键盘扫描;CH452 通过可以级联的4 线串行接口或者2 线串行接口与单片机等交换数据;并且可 以对单片机提供上电复位信号。

SEG018SEG119SEG220SEG321SEG422SEG523SEG624SEG71DIG7

7DIG68DIG59DIG410DIG311DIG212DIG113DIG014VC C 2ADDR

4

H3L217GND

15

RST

16

INT#3SCL 6SDA

5

U2CH452L

R5200*8R6R7R8R9R10R11R12A

A B B B A C C C E E E F F F G G G DB DB

DB

+5D D D R12K2

R22K2

按键

A B C D E F G DB D1D2

S1S3S5S7S9S11S13S15

S16

S14S12S10S8

S6

S4

S2

12345

J E7

E1E2E3E4E5E6E0E0

E1E2

E3

E4

E5E6E7A B C D E G F db

w3w2w1w0

P2

A B C D E G F db

w3w2w1w0

P1

图3 键盘显示电路

3.2.3 稳压电源的设计

稳压电源电路主要是由LM7805和A1117电路组成,为电路提供稳定的所需电压。LM358双运放所需要的工作电压为5V ,CH452键盘显示控制芯片也需要5V 的工作电压。本设计在这里采用的是LM7805三段固定式输出电源,经过整流滤波后的电压直接送入到LM7805,然后输出稳定的5V 电压,为电路提供稳定的电压。C8051F410单片机正常工作所需直流电压为3.3V ,因为经过整流滤波后输出的电压大而且不够稳定,不能直接给单片机供电,本系统选用了A1117电压调整输出芯片,使其输出3.3V 的直流固定电压,保证了C8051F410单片机的正常工作。

C410UF

C6100UF

C50.1UF

C70.1UF

C20.1uf

D1IN4007

D2IN4007

D3IN4007D4IN4007

C12200U

+3.3V

G N D

+5V A1117U3

I 1

G

2

O 3U6

L7805

C3470uf

+5

+3.3

12J112

J2+5+3.3

T

图4 稳压电源电路

3.3系统的总原理图

四、系统软件设计

4.1系统的总体程序流程框图

0 1 2 3 4 5 6 7

4.2单元电路的子程序 4.3程序清单

系统初始化

开始

结束 读取电压值

送显示 A/D 转换结束?

定时循环显示

通道0

通道1

通道2

通道3

通道4

通道5

通道6

通道7

扫描按键修改转换通道

Switch 语句

五、系统测试

5.1 电路的测试方案(方法)

(1)将系统各模块分开测试,调通后再整体调试。

(2)系统整体测试。单片机程序擦写好后,依据设计要求分别测试输出信号电压的变化率,

5.2 测试仪器

开发平台:Windows XP;直流稳压电源;数字万用表;数字信号源

5.3 测试结果与分析

在第1路测试位置与地之间接好数字万用表,调节电位器的值,改变频率,读出主控器显示的电压值和万用表侧的的实际值如表2所示,同理测得2—7路的电压值如表3所示

表1 第0、1路显示值与实际测量值

显示值/v 0.1 0.3 0.5 0.7 0.9

通道0

实际值/v 0.140 0.333 0.540 0.666 0.925

显示值/v 0.1 0.3 0.5 0.7 0.9 通道1

实际值/v 0.136 0.330 0.452 0.689 0.902

表2 第2、3路显示值与实际测量值

显示值/v 1.0 2.0 3.0 4.0 5.0

通道2

实际值/v 0.993 2.044 2.980 3.963 5.001

显示值/v 1.0 2.0 3.0 4.0 5.0 通道3

实际值/v 0.993 2.046 2.976 3.973 4.990

表3 第4、5路显示值与实际测量值

显示值/v 3.0 6.0 9.0 12.0 15.0

通道4

实际值/v 3.050 6.054 9.001 12.000 15.039

显示值/v 3.0 6.0 9.0 12.0 15.0 通道5

实际值/v 3.052 6.060 8.997 12.013 15.042

表4 第6、7路显示值与实际测量值

显示值/v 6.0 12.0 18.0 24.0 30.0

通道6

实际值/v 5.987 11.998 18.066 23.997 30.009

显示值/v 6.0 12.0 18.0 24.0 30.0 通道7

实际值/v 5.984 12.064 18.056 23.976 29.992 综上各测试数据可知,每路电压信号的幅值变化率不大于0.5伏/分钟;检测精度要求大于千分之一;在允许的误差范围内,此设计系统抗干扰性强,功耗低。

六、总结论

在整个电路的设计制作过程(设计—制图—转印—腐蚀—钻孔—焊接—调试)中,需要时刻秉着认真、仔细的态度去完成硬件的设计与焊接。在调试过程中遇到很多问题,硬件上的理论知识学得不够扎实,对电路板的检测方法掌握的不够好,因此给硬件排除故障带来了一定的难度。软件程序在实验板上可以但是捎写到单片机内就会出现很多问题,最主要的是接插件接触不良、电源的正负极这些事一定要注意的。

参考文献

【1】全国大学生电子设计竞赛组委会、全国大学生电子设计竞赛获奖作品选编、唐爽、北京理工大学出版社

【2】陈永真、全国大学生电子设计竞赛试题精解选、刘继红、北京、电子工业出版社、2007.6

【3】杨成利、数字电子技术、郭安周、郑州:黄河水利出版社,2005.6

【4】梅丽凤、单片机原理及应用、韩乐、北京:清华大学出版社;北京交通大学出版社,2008.1

【5】胡宴如,模拟电子技术、曲文利、北京:高等教育出版社,2008.6

【6】李朝青编,<<单片机原理及接口技术>>,北京:北京航空航天大学出版社,1999年3月第一版

【7】谢自美编,<<电子线路设计·实验·测试>>,武汉:华中科技大学出版社,2000年7月第二版

附录(电路图及有关设计文件)

附录一 电路总原理图

SEG0SEG119SEG2

20SEG321SEG422SEG523SEG624SEG71DIG77

DIG68DIG59DIG410DIG311DIG212DIG113DIG014VC C

ADDR 4

H3L217GND 15RST 16INT#3

SCL 6SDA 5

R5R6R7R8R9R10R11R12A B C C

E E E

F F F

G G G DB DB D

D

D R12K2R22K2

按键

A B C D

E

F G DB D1D2S1S3S5S7S9S11S13S15S16

S14S12S10S8

S6

S4

S2

12345

J E7E1

E2E3

E4

E5

E6E0

E0E1

E2

E3

E4

E5E6E7B C D E G F db

w3w2w1w0

C D E G F db

w3w2w1w0

SEG018

SEG119SEG220SEG321SEG422SEG523SEG624SEG71DIG7

7DIG68DIG59DIG410DIG311DIG212DIG113DIG014VC C

2ADDR 4

H3L217GND

15

RST

16

INT#3SCL 6SDA

5

U2CH452L

R5200*8R6R7R8R9R10R11R12A A B B B A C C C E E E F F F G G G DB DB

DB

+5

D D D

R12K2R22K2

按键

A B C D E F G DB D1D2S1S3S5S7S9S11S13S15S16

S14S12S10S8

S6

S4S212345

J

E7

E1E2E3E4E5E6E0E0E1

E2

E3

E4

E5E6E7A B C D E G

F

db

w3

w2

w1w0P2A B C D E G F db

w3w2w1w0

P1

11

2

2

33

44

55

66

77

88

9

9

10

10

1111121213131414151516

16171718181919202021212222232324

24

25252626272728282929303031

3132

32

A D P11V RE F

P13P14P15P16P17

DA P01P02P03P04P05P06P07

P 20P 21P 22P 23P 24P 25P 26P 27VIO

C2CK VB AK

X4

X3

GND

VDD

VR EGIN

8051F 410

IC1R1

5K

R215K

R315K

R4

5K

R52.2k

R63.3k

R72.2k

R83.3k

R922k

R105.5k

R1122k

R125.5k

R1327k

R143k

R1527k

R163k

115515153030D

J1+5+5

12J2+5

12

J3+3.3

1234

J4+3.3

12345

J5

+5

C10.1UF

C 4.7UF

D1

R 270+5

V +

V -IC2A

LM 358

V +

V -

IC2B

LM 358

C410UF

C6100UF

C50.1UF

C70.1UF

C20.1uf

D1IN4007

D2IN4007D3IN4007D4IN4007

C12200U

+3.3V

G N D

+5V A1117U3

I 1

G

2

O 3U6

L7805

C3470uf

+5

+3.3

12J112

J2+5+3.3

T

SEG018SEG119SEG220SEG321SEG422SEG523SEG624SEG71DIG7

7DIG68DIG59DIG410DIG311DIG212DIG113DIG014VC C 2ADDR

4

H3L217GND

15

RST

16

INT#3SCL 6SDA

5

U2CH452L

R5200*8R6R7R8R9R10R11R12A

A B B B A C C C E E E F F F G G G DB DB

DB

+5

D D D R12K2

R22K2

按键

A B C D

E

F G DB D1

D2

S1

S3S5S7S9S11S13S15S16

S14S12S10S8

S6

S4

S2

12345

J E7

E1E2E3E4E5E6E0E0E1E2

E3

E4

E5E6E7A B C D E G F db

w3w2w1w0

P2

A B C D E G F db

w3w2w1w0

P1

附录二 程序清单

// Generated Initialization File // #include "C8051F410.h"

// Peripheral specific initialization functions, // Called from the Init_Device() function void PCA_Init() {

PCA0MD &= ~0x40; PCA0MD = 0x00; }

void Timer_Init() {

TMOD = 0x11; TL0 = 0x20; TL1 = 0xFF; TH0 = 0xD8; TH1 = 0xFF; }

void ADC_Init() {

ADC0MX = 0x00; ADC0CN = 0x80; ADC0TK = 0xF7; }

void V oltage_Reference_Init() {

REF0CN = 0x18; }

{

// P0.0 - Unassigned, Open-Drain, Analog // P0.1 - Unassigned, Open-Drain, Analog // P0.2 - Unassigned, Open-Drain, Analog // P0.3 - Unassigned, Open-Drain, Analog // P0.4 - Unassigned, Open-Drain, Analog // P0.5 - Unassigned, Open-Drain, Analog // P0.6 - Unassigned, Open-Drain, Analog // P0.7 - Unassigned, Open-Drain, Analog // P1.0 - Skipped, Push-Pull, Digital

// P1.1 - Skipped, Push-Pull, Digital

// P1.2 - Skipped, Push-Pull, Digital

// P1.3 - Skipped, Push-Pull, Digital

// P1.4 - Skipped, Push-Pull, Digital

// P1.5 - Skipped, Push-Pull, Digital

// P1.6 - Skipped, Push-Pull, Digital

// P1.7 - Skipped, Push-Pull, Digital

// P2.0 - Skipped, Push-Pull, Digital

// P2.1 - Skipped, Push-Pull, Digital

// P2.2 - Skipped, Push-Pull, Digital

// P2.3 - Skipped, Push-Pull, Digital

// P2.4 - Skipped, Push-Pull, Digital

// P2.5 - Skipped, Push-Pull, Digital

// P2.6 - Skipped, Push-Pull, Digital

// P2.7 - Skipped, Push-Pull, Digital

P0MDIN = 0x00;

P1MDOUT = 0x80;

P2MDOUT = 0x00;

P0SKIP = 0xFF;

XBR1 = 0x40;

}

void Oscillator_Init()

{

OSCICN = 0x85;

}

void Interrupts_Init()

{

IE = 0x8A;

}

// Initialization function for device,

// Call Init_Device() from your main program

void Init_Device(void)

{

Timer_Init();

ADC_Init();

V oltage_Reference_Init();

Port_IO_Init();

Oscillator_Init();

Interrupts_Init();

}

#define uchar unsigned char //0~255

#define uint unsigned int //0~65535

#define ulint unsigned long int //0~4294967295

sbit CH452_SCL = P1^3;

sbit CH452_SDA = P1^4;

sbit CH452_KEY = P1^5;

sbit led=P1^7;

uchar flag=0; //模式标志

uchar TD; //通道标志(0 ~ 7)

uchar x,d;

uchar SM1,SM2,SM3,SM4,SM5; //数码管显示

uchar dispbuff[]={0,0,0,0,0,0,0,0}; //显示缓冲

uint q;

uint CMD,DAT,DAT1; //CH452显示及键盘的指令标志ulint AD,AD1,AD2,AD3,AD4,AD5,ads; //A/D的转换

ulint RT=27000l;

code unsigned char font[32] =

{

0xFC,0x60,0xDA,0xF2,0x66,0xB6,0xBE,0xE0, //0 1 2 3 4 5 6 7

0xFE,0xF6,0xEE,0x3E,0x9C,0x7A,0x9E,0x8E, //8 9 A B C D E F

0xFD,0x61,0xDB,0xF3,0x67,0xB7,0xBF,0xE1, //0.1.2.3.4.5.6.7.

0xFF,0xF7,0x00,0x62,0x02,0x12,0x7C,0x1C //8.9.灭+ — = U L

};

code unsigned char key[16] =

{

0xbe,0xce,0x8e,0xde,0xbc,0xcc,0x8c,0xdc,

0xfe,0xae,0xee,0x9e,0xfc,0xac,0xec,0x9c //键盘位置码

};

void xscl();

//显示数据处理

/* mS延时*/

void delayms(unsigned int i)

{

unsigned int j;

do

{

for(j=0;j!=1000;j++)

{;}

}while(--i);

}

/* uS延时*/

void delayus(void)

{

unsigned int k;

for (k=0;k<10;k++)

{;}

}

/* 命令、数据送CH452 */ void toch452(unsigned int cmda) {

unsigned char j;

CH452_SDA = 0;

delayus();

CH452_SCL = 0;

delayus();

CH452_SCL = 1;

delayus();

CH452_SCL = 0;

for(j=0;j<16;j++)

{

if(cmda>=0x8000)

CH452_SDA = 1;

else

CH452_SDA = 0;

CH452_SCL = 1;

delayus();

CH452_SCL = 0;

cmda = cmda << 1 ;

};

CH452_SDA = 1;

delayus();

CH452_SCL = 1;

}

/* 读键盘*/

unsigned char rdch452(void) {

unsigned char j,key;

CH452_SDA = 0;

delayus();

CH452_SCL = 0;

delayus();

CH452_SCL = 1;

delayus();

CH452_SCL = 0;

key = 0x9F;

for (j=0;j<8;j++)

{

if(key>=0x80 )

CH452_SDA = 1;

else

CH452_SDA = 0;

CH452_SCL = 1;

delayus();

CH452_SCL = 0;

key=key << 1 ;

};

delayus();

key = 0;

for (j=0;j<8;j++)

{

CH452_SCL = 1;

if(CH452_SDA == 1)

key ++;

key = key << 1;

CH452_SCL = 0;

delayus();

}

CH452_SDA = 1;

delayus();

CH452_SCL = 1;

return key;

}

uint adc() //ADC转换函数{

AD0BUSY=1;

do

{

}while(AD0INT==0);

AD0INT=0;

ads=ADC0H*256+ADC0L;

return(ads);

}

main()

{

uchar i,keycode,keyn;

Init_Device(); //初始化

delayms(100);

CMD = 0x9103; //设置CH452系统参数

toch452(CMD);

CMD = 0x9500; //设置CH452显示参数

toch452(CMD);

TR1=1; //启动定时器1

while(1)

{

if(flag==0) //模式1----按键选择8路数据通道显示

{

led=0;

if(CH452_KEY ==0) //按键控制

{

keycode = rdch452();

for (i=0;i<16;i++) //键译码

{

if(key[i] == keycode)

keyn=i; //读取键值

}

switch(keyn)

{

case 0: TD=0; ADC0MX=0x00; RT=27000l; break;

case 1: TD=1; ADC0MX=0x01; RT=26800l; break;

case 2: TD=2; ADC0MX=0x02; RT=135700l; break;

case 3: TD=3; ADC0MX=0x03; RT=135000l; break;

case 4: TD=4; ADC0MX=0x04; RT=403100l; break;

case 5: TD=5; ADC0MX=0x05; RT=399000l; break;

case 6: TD=6; ADC0MX=0x06; RT=802600l; break;

case 7: TD=7; ADC0MX=0x07; RT=800000l; break;

case 8: flag=1 ; break;

default: break;

}

}

}

附录三元器件清单

元件名称元件参数元件个数元件封装

双12变压器 1 自作

8051F410 1 自作

各种电压电流采样电路设计

常用采样电路设计方案比较 配电网静态同步补偿器(DSTATCOM)系统总体硬件结构框图如图2-1所示。由图2-1可知DSTATCOM的系统硬件大致可以分成三部分,即主电路部分、控制 电路部分、以及介于主电路和控制电路之间的检测与驱动电路。其中采样电路包括3路交流电压、6路交流电流、2路直流电压和2路直流电流、电网电压同步信号。3路交流电压采样电路即采样电网三相电压信号;6路交流电流采样电路分别为电网侧三相电流和补偿侧三相电流的电流采样信号;2路直流电压和2路直流电流的采样电路DSTATCOM的桥式换流电路的直流侧电压信号和电流信号;电网电压 同步信号采样电路即电网电压同步信号。 信号调 理 TMS320 LF2407A DSP 键盘显示 电路电压电流信号驱动电路保护电路 控制电路检测与驱动 电路主电路 图2-1 DSTATCOM系统总体硬件结构框图 1.1常用电网电压同步采样电路及其特点 1.1.1 常用电网电压采样电路 1 从D-STATCOM的工作原理可知,当逆变器的输出电压矢量与电网电压矢 量幅值大小相等,方向相同时,连接电抗器内没有电流流动,而D-STATCOM 工作在感性或容性状态都可由调节以上两矢量的夹角来进行控制,因此,逆变 器输出的电压矢量的幅值及方向的调节都是以电网电压的幅值和方向作为参考的,因此,系统电压与电网电压的同步问题就显得尤为重要。

图2-2 同步信号产生电路1 从图2-2所示同步电路由三部分组成,第一部分是由电阻、电容组成的RC滤波环节,为减小系统与电网的相位误差,该滤波环节的时间常数应远小于系统 的输出频率,即该误差可忽略不计。其中R5=1K,C4=15pF,则时间常数错误!未找到引用源。<

#基于LabVIEW控制的多路传感信号采集系统 - 副本

河北工业大学毕业设计说明书

1 引言 应用广泛的测控技术在民生、国防许多领域都占有一席之地,它的现代化被认为是科学技术与国防现代化的重要的条件与明显标志。信息获取、通信与计算机技术被当作当今社会信息技术的三大支柱技术。这三个技术中,信息获取技术是必要的前提和基础,信息获取就是通过数据采集实现的。在以前的现场工作中实验的数据全部使用通过人报数并记录的方式,大量的实验数据的采集与分析完全不可能实现。而随着现代PC机和微电子(Microelectronics)一系列技术的飞速发展,再加上使用高精度、高性能的数据采集仪器,多路数据采集实现了智能化,并且由于大量数据采集和分析都由计算机自动完成,很大程度上提高了测量精度以及速度。伴随着测控技术的发展,智能仪器、VXI仪器、PC仪器以及虚拟仪器等自动测控系统也相继产生,而软件系统也逐渐成为了计算机系统的核心,LabVIEW就是一种计算机处理分析系统软件。其简单易懂的图形化编程方式也使它成为普及率仅次于C的编程语言。 1.1 研究背景 1.1.1 测控技术的现状 早期使用的测控系统都是利用大型的仪表来监视每个设备的状态,然后再通过操作盘进行集中操控;计算机系统则是在以计算机为主体基础上,配合检测装置、执行机构和被控对象组成一个整体,系统中的计算机用于实现生产过程的各种监控。然而,由于通信协议不开放,这种测控系统只是一个自封闭的系统,只能完成单一的测量与控制功能,却不能实现通用。 随着科学技术的不断发展,在国防、通信、航空、制造等科技领域应用中,要求测量和处理的信息数据量越来越大、而速度要求也越来越快;并且由于测试的对象的空间位置的日益分散,测试系统的日益庞大,测控现场化、远程化也成为了未来测控技术发展的方向。 测控技术最早起源于国外,它是在PC技术、通信技术等技术飞速发展,以及对大容量分布的测控终端的强烈需求的背景下发展起来,可以分为四个阶段,见表 1.1所示: 表1.1 测控技术发展四个阶段

多路数据采集

目录 一、任务与要求 (2) 二、总体设计 (2) 1、电路原理框图 (2) 2、整体工作原理 (3) 三、各部分电路原理图 (4) 1、模拟开关部分 (4) 2、D/A转换部分 (4) 3、三态门驱动部分 (5) 3、RAM部分 (5) 4、十六位数码显示 (6) 5、A/D转换部分 (6) 6、逻辑控制与时钟电路 (7) 四、仿真结果 (7) 1、进行一路数据的采集 (7) 2、进行两路信号的采集 (8) 五:转换精度的分析 (9) 六、该电路实现的功能 (10)

多路数据采集系统的设计报告 一、任务与要求 数字电路所能处理的信号为数字信号,而生产实践中的许多信号属于模拟信号,因而,模/数变换和数/模变换就成为电子技术应用中的基本环节。本实验用数/模、模/数转换器为主设计制作一个数据采集系统。 (1) 用ADC0809或其它ADC 芯片实现对两路以上的模拟信号的采集,模拟信号 以常用物理量温度为对象,可以经传感器、输入变换电路得到与现场温度成线性关系的0~5V 电压,也可以直接用0~5V 的电压模拟现场温度。采集的数据一方面送入存储器保存(如RAM6264),同时用数码管跟踪显示。 (2) 从存储器中读出数据,经D/A 芯片0832或其它DAC 芯片作D/A 变换,观察 所得模拟量与输入量的对应情况 (3) 分析转换误差,研究提高转换精度的措施。 二、总体设计 1、电路原理框图 数据采集系统框图如图8-6-1。

图1数据采集系统框图 说明: (1)、在multisim中使用两个函数发生器产生一个Vpp为5v的正弦波和Vpp 为5V的三角波作为传感信号。 (2)、数字量显示使用的是十六进制。 (3)、在此电路中用模拟开关控制采集哪路信号。 2、整体工作原理 图1数据采集系统电路图 当电路上电开始工作时,J2处于低电位,RS触发器处于置一状态,将开关J2开到高电位时,此时RS为保持状态,控制三态门工作,并使RAM置于写状态,控制A/D不工作。D/A转换器每进行完一次转换都会使EOC’输出一高电平,当下一次转换开始时EOC’又开始变为高电平,利用EOC’给计数器提供冲击脉冲使其计数,并计数器的计数功能来控制RAM的内存单位自动加一,从而使000H--1FFH

多路温度采集系统

小型多路温控采集系统设计一.系统说明

本系统采用51单片机作为控制器,控制温度采集及显示。 温度传感器选用DS18B20,其单总线的通信方式可以减少系统的线路连接。DS18B20支持多点组网功能,多个DS18B20可以并联在唯一的三线上,实现组网多点测温。DS18B20在使用中不需要任何外围元件,全部传感元件及转换电路集成在形如一只三极管的集成电路。内温范围-55℃~+125℃,在-10~+85℃时精度为±℃可编程的分辨率为9~12位,对应的可分辨温度分别为℃、℃、℃和℃,可实现高精度测温。 同时本系统选用LCD1602作为显示器件,能够同时显示16x02即32个字符(16列2行)。其显示清晰,并可以显示阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,满足了系统要求。 二.系统电路图 三、程序流程图 四、程序解读 注:程序分两部分。可以先用程序二读出各个器件的序列号,再将序列号填入程序一的SN[4][8]数组中,若要加入更多的器件可以扩大数组,并在程序中增加读显的循环次数。 1.程序一:已知各个器件序列号读取温度 #include<> #define uchar unsigned char #define uint unsigned int uchar TMP[4]; 0”1”0c1”2”3”4”序二:读取DS18B20序列号程序 注:读ROM时,只能有一个器件与单片机通信。可以逐个相连来读出其ROM #include<> #define uchar unsigned char #define uint unsigned int uint sn[8]={0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x10}; sbit DQ=P3^7;//ds18b20与单片机连接口 sbit RS=P3^0; sbit RW=P3^1; sbit EN=P3^2; void delay1ms(unsigned int ms)//延时1毫秒(不够精确的)

电压采集电路设计.(DOC)

目录 一、设计目的 ................................................................................................................... - 2 - 二、设计内容 ................................................................................................................... - 2 - 三、整体设计方案设计..................................................................................................... - 2 - 四、设计任务 ................................................................................................................... - 3 - 五、硬件设计及器件的工作方式选择............................................................................... - 3 - 1、硬件系统设计方框图:.................................................................................................- 3 - 2、中断实现:8259A工作方式选择及初始化..................................................................- 4 - 3、定时功能实现:8253的工作方式及初始化................................................................- 4 - 4、数码管显示及ADC的数据传输:8255的工作方式及初始化 ...................................- 5 - 5、模拟电压转换为数字量:ADC0809的初始化.............................................................- 5 - 6、地址编码实现:74LS138及逻辑器件 ..........................................................................- 6 - 7、显示功能:数码管显示.................................................................................................- 6 - 六、软件设计 ..............................................................................................................................- 7 - 1、主程序流程图.................................................................................................................- 7 - 2、中断子程序.....................................................................................................................- 7 - 3、显示子程序.....................................................................................................................- 8 - 4、初始化.............................................................................................................................- 9 - 8295A初始化流程图 ...................................................................................................- 9 - 8253初始化流程图......................................................................................................- 9 - 8255初始化流程图......................................................................................................- 9 - 5、程序清单及说明.......................................................................................................... - 10 - 七、本设计实现功能 ...................................................................................................... - 13 - 八、元件清单 ................................................................................................................. - 14 - 九、所遇问题与小结 ...................................................................................................... - 14 - 1、问题与解决.................................................................................................................. - 14 - 2、小结体会...................................................................................................................... - 15 - 附:系统硬件连线图 ............................................................................................................... - 16 -

多路数据采集系统设计毕业论文

多路数据采集系统设计毕业论文 第1章绪论 1.1 多路数据采集系统介绍 随着工、农业的发展,多路数据采集势必将得到越来越多的应用,为适应这一趋势,作这方面的研究就显得十分重要。在科学研究中,运用数据采集系统可获得大量的动态信息,也是获取科学数据和生成知识的重要手段之一。总之,不论在哪个应用领域中,数据采集与处理将直接影响工作效率和所取得的经济效益。 此外,计算机的发展对通信起了巨大的推动作用。算机和通信紧密结合构成了灵活多样的通信控制系统,也可以构成强有力的信息处理系统,这样对社会的发展产生了深远的影响。数据通信是计算机广泛应用的必然产物[2]。 数据采集系统,从严格的意义上来说,应该是用计算机控制的多路数据自动检测或巡回检测,并且能够对数据实行存储、处理、分析计算以及从检测的数据中提取可用的信息,供显示、记录、打印或描绘的系统。 数据采集系统一般由数据输入通道,数据存储与管理,数据处理,数据输出及显示这五个部分组成。输入通道要实现对被测对象的检测,采样和信号转换等

工作。数据存储与管理要用存储器把采集到的数据存储起来,建立相应的数据库,并进行管理和调用。数据处理就是从采集到的原始数据中,删除有关干扰噪声,无关信息和必要的信息,提取出反映被测对象特征的重要信息。另外,就是对数据进行统计分析,以便于检索;或者把数据恢复成原来物理量的形式,以可输出的形态在输出设备上输出,例如打印,显示,绘图等。数据输出及显示就是把数据以适当的形式进行输出和显示。 由于RS-232在微机通信接口中广泛采用,技术已相当成熟。在近端与远端通信过程中,采用串行RS-232标准,实现PC机与单片机间的数据传输。在本毕业设计中对多路数据采集系统作了初步的研究。本系统主要解决的是怎样进行数据采集以及怎样进行多路的数据采集,并将数据上传至计算机[2]。 1.2 设计思路 多路数据采集系统采用ADC0809模数转换器作为数据采集单元和AT89C51单片机来对它们进行控制,不仅具有控制方便、简单和灵活性大等优点,而且可以大幅度提高采集数据的灵敏度及指标。通过MAX232电平转换芯片实现单片机与PC 机的异步串行通信,设计中的HD7279实现了键盘控制与LED显示显示功能。本文设计了一种以AT89C51和ADC0809及RS232为核心的多路数据采集系统。 多路数据采集系统就是通过键盘控制选择通路,将采集到的电压模拟两转换成数字量实时的送到单片机里处理从而显示出采集电压和地址值,最终控制执行单片机与PC机的异步串行通信。 连接好硬件后,给ADC0809的三条输入通路通入直流电压。4-F键为功能键,4-E键为复位键,F键为确认键。1-3键为通道选择键,分别采集三个通道的数据值并实时显示出数值和地址值。结合单片机RS232串口功能还实现了与PC机的异

多路数据采集与控制系统

1 引言 数据采集是指将温度、压力、流量、位移等模拟量采集、转换成数字量后,再由计算机进行存储、处理、显示的过程。在生产过程中,可对生产现场的工艺参数进行采集、监视和记录,为提高产品的质量、降低成本提供信息和手段。本文设计了一套多路数据采集系统,实施采集多现场的温度参数,系统通过RS485总线将采集到的现场温度数据传输至上位机,上位机对采集到的数据进行显示、存储,从而达到现场监测与控制的目的。 2 设计目的和要求 设计一由微机控制的A/D数据采集和控制系统,该卡具有对八个通道上 0-5V的模拟电压进行采集的能力,且可以用程序选择装换通道,选择ADC0809 作为A/D转换芯片。 本设计包括确定控制任务、系统总体设计、硬件系统设计、软件程序的设计等,使学生进一步学习理解计算机控制系统的构成原理、接口电路与应用程序,巩固与综合专业基础知识和相关专业课程知识,提高学生运用理论知识解决实际问题的实践技能。 3 系统设计方案 1.八路模拟信号的产生 被测电压要求为0~5V的直流电压,可通过八个滑动变阻器调节产生。 2.模拟信号的采集 八路数据采集系统采用共享数据采集通道的结构形式,数据采集方式确定为程序控制数据采集。 3.A/D转换器的选取 八位逐次比较式A/D转换器 4.控制与显示方法的选择 用单片机作为控制系统的核心,处理来自ADC0809的数据。经处理后通过串口传送,由于系统功能简单,完成采样通道的选择,单片机通过接口芯片与LED

数码显示器相连,驱动显示器相应同采集到的数据。 图3.1 总体设计图 4 硬件系统的设计 4.1芯片ADC0809的引脚功能和主要性能 ADC0809八位逐次逼近式A/D 转换器是一种单片CMOS 器件,包括8位模拟转换器、8通道转换开关和与微处理器兼容的控制逻辑。8路转换开关能直接联通8个单端模拟信号中的任意一个。 ADC0809的引脚图及51单片机引脚图: 图4.1 ADC0809管脚图及51单片机芯片管脚图 模拟输入通道1 ADC0808 单片机 LED 模拟输入通道2 模拟输入通道8

多路温度采集系统设计与实现

学校代码:11517 学号:201150712117 HENAN INSTITUTE OF ENGINEERING 毕业设计(论文) 题目多路温度采集系统设计与实现 学生姓名高宇照 专业班级电气工程及其自动化1121 学号201150712117 系(部)电气信息工程学院 指导教师(职称) 张秋慧(讲师) 完成时间2012 年 5 月13日

目录 摘要................................................................................................... I ABSTRACT ........................................................................................... II 1 前言 . (1) 1.1 背景介绍 (1) 1.2 研究设计意义及目的 (1) 1.3 发展情况 (2) 1.4 本设计主要内容 (3) 2 设计任务及方案论证 (4) 2.1 设计任务 (4) 2.2 设计方案的论证 (4) 2.3系统框图设计 (6) 3 多路温度采集系统硬件电路设计 (7) 3.1系统模块及模块介绍 (7) 3.1.1 系统整体模块控制 (7) 3.1.2 模块介绍及原理 (7) 3.2 系统基本硬件组成设计 (14) 3.2.1微机芯片工作电路设计 (14) 3.2.2 温度采集电路设计 (15) 3.2.3LCD1602的显示设计 (17) 3.2.4 报警电路的设计 (18) 3.2.5 电源部分的设计 (19) 3.3 系统设计的电路结构图 (21) 4 系统的软件设计 (22) 4.1 主程序设计 (22) 4.2 子程序设计 (23) 5 系统调试与性能分析 (27) 5.1 系统调试 (27) 5.2 性能分析 (29) 结论 (31) 致谢 (32)

基于labview的语音信号采集系统

电气与自动化工程学院《LabVIEW编程实训》评分表课程名称:LabVIEW编程实训 题目:基于labview的语音信号采集系统设计 班级:1601131自动化学号:160113113姓名:刘德旺 指导老师: 年月日

常熟理工学院电气与自动化工程学院《LabVIEW编程实训》技术报告题目:基于LabVIEW的语音信号采集系统设计 姓名:刘德旺 学号:160113113 班级:自动化131 指导教师:陈飞 起止日期:2016年6月20日-7月8日

LabVIEW编程实训答辩记录 自动化专业 1601131班级答辩人刘德旺 题目基于LabVIEW的语音信号采集系统设计 说明:主要记录答辩时所提的问题及答辩人对所提问题的回答

目录 1.任务书 (1) 2.基于LABVIEW的数据采集系统概述 (3) 2.1虚拟仪器概念与传统仪器概念主要区别 (3) 2.1.1LabVIEW虚拟仪器简介 (3) 2.1.2LabVIEW虚拟仪器特点 (3) 2.2 LabVIEW图形化程序的组成与特点 (4) 2.2.1前面版 (4) 2.2.2程序框图 (4) 2.2.3图标和连接器 (5) 3.语音信号采集总体设计方案与硬件配置 (6) 3.1语音信号采集系统的功能分析 (6) 3.2语音信号采集系统的总体构成 (6) 3.3语音信号采集系统的硬件配置 (6) 4.语音信号采集系统的软件设计与功能实现 (11) 4.1语音信号采集系统的软件前面板设计 (11) 4.1.1语音信号采样信息界面 (11) 4.1.2语音采集控制按钮界面 (11) 4.1.3时域波形和频域波形显示界面 (11) 4.2语音信号采集系统的软件程序框图设计 (12) 5.语音信号采集系统的运行与分析 (18) 6.收获与体会 (21) 参考文献 (23)

基于ADC0809和51单片机的多路数据采集系统设计

基于ADC0809和51单片机的多路数据采集系统设计 “数据采集”是指将温度、压力、流量、位移等模拟物理量采集并转换成数字量后,再由计算机进行存储、处理、显示和打印的过程,相应的系统称为数据采集系统。本文的主要任务是对0~5V的直流电压进行测量并送到远端的PC机上进行显示。由于采集的是直流信号,对于缓慢变化的信号不必加采样保持电路,因此选用市面上比较常见的逐次逼近型ADC0809芯片,该芯片转换速度快,价格低廉,可以直接将直流电压转换为计算机可以处理的数字量。同时选用低功耗的LCD显示器件来满足其在终端显示采集结果的需求。终端键盘控制采用尽可能少的键来实现控制功能,为了防止键盘不用时的误操作,设计时还设置了锁键功能,在键盘的输入消抖方面,则采用软件消抖方法来降低硬件开销,提高系统的抗干扰能力。软件设计方面则采用功能模块化的设计思想;键盘模数转换等采用中断方式来实现,从而大大提高了单片机的效率以及实时处理能力。1 数据采集系统的硬件结构数据采集系统的硬件结构一般由信号调理电路、多路切换电路、采样保持电路、A/D转换器以及单片机等组成。本文主要完成功能的系统硬件框图。 2 ADC0809模数转换器简介2.1 ADC0809的结构功能本数据采集系统采用计算机作为处理器。电子计算机所处理和传输的都是不连续的数字信号,而实际中遇到的大都是连续变化的模拟量,模拟量经传感器转换成电信号后,需要模/数转换将其变成数字信号才可以输入到数字系统中进行处理和控制,因此,把模拟量转换成数字量输出的接口电路,即A/D转换器就是现实信号转换的桥梁。目前,世界上有多种类型的A/D转换器,如并行比较型、逐次逼近型、积分型等。本文采用逐次逼近型A/D转换器,该类A/D转换器转换精度高,速度快,价格适中,是目前种类最多,应用最广的A/D转换器。逐次逼近型A/D转换器一般由比较器、D/A转换器、寄存器、时钟发生器以及控制逻辑电路组成。 ADC0809就是一种CMOS单片逐次逼近式A/D转换器,其内部结构。该芯片由8路模拟开关、地址锁存与译码器、比较器、8位开关树型D/A转换器、逐次逼近寄存器、三态输出锁存器等电路组成。因此,ADC0809可处理8路模拟量输入,且有三态输出能力。该器件既可与各种微处理器相连,也可单独工作。其输入输出与TTL兼容。 ADC0809是8路8位A/D转换器(即分辨率8位),具有转换起停控制端,转换时间为100μs采用单+5V电源供电,模拟输入电压范围为0~+5V,且不需零点和满刻度校准,工作温度范围为-40~+85℃功耗可抵达约15mW。 ADC0809芯片有28条引脚,采用双列直插式封装,图3所示是其引脚排列图。各引脚的功能如下: IN0~IN7:8路模拟量输入端; D0~D7:8位数字量输出端; ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路; ALE:地址锁存允许信号,输入,高电平有效; START:A/D转换启动信号,输入,高电平有效; EOC:A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平); OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平才能打开输出三态门,输出为数字量; CLK:时钟脉冲输入端。要求时钟频率不高640kHz; REF(+)、REF(-):基准电压; Vcc:电源,单一+5V; GND:地。 ADC0809工作时,首先输入3位地址,并使ALE为1,以将地址存入地址锁存器中。此地址经译码可选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位;下降沿则启动A/D转换,之后,EOC 输出信号变低,以指示转换正在进行,直到A/D转换完成,EOC变为高电平,指示A/D转换结束,并将结果数据存入锁存器,这个信号也可用作中断申请。当OE输入高电平时,ADC

基于单片机的多路温度采集系统毕业设计(论文)外文翻译

华南理工大学学院 本科毕业设计(论文)外文翻译 外文原文名Structure and function of the MCS-51 series 中文译名MCS-51系列的功能和结构 学院电子信息工程学院 专业班级自动化一班 学生黎杰明 学生学号 3 指导教师吴实 填写日期2016年3月10日 页脚.

外文原文版出处:《association for computing machinery journal》1990, V ol.33 (12), pp.16-ff 译文成绩:指导教师(导师组长)签名: 译文: MCS-51系列的功能和结构 MSC-51系列单片机具有一个单芯片电脑的结构和功能,它是英特尔公司的系列产品的名称。这家公司在1976年推出后,引进8位单芯片的MCS-48系列计算机后于1980年推出的8位的MCS-51系列单芯片计算机。诸如此类的单芯片电脑有很多种,如8051,8031,8751,80C51BH,80C31BH等,其基本组成、基本性能和指令系统都是相同的。8051是51系列单芯片电脑的代表。 一个单芯片的计算机是由以下几个部分组成:(1)一个8位的微处理器(CPU)。(2)片数据存储器RAM(128B/256B),它只读/写数据,如结果不在操作过程中,最终结果要显示数据(3)程序存储器ROM/EPROM(4KB/8KB).是用来保存程序一些初步的数据和切片的形式。但一些单芯片电脑没有考虑ROM/EPROM,如8031,8032,80C51等等。(4)4个8路运行的I/O接口,P0,P1,P2,P3,每个接口可以用作入口,也可以用作出口。(5)两个定时/计数器,每个定时方式也可以根据计算结果或定时控制实现计算机。(6)5个中断(7)一个全双工串行的I/UART(通用异步接收器I口/发送器(UART)),它是实现单芯片电脑或单芯片计算机和计算机的串行通信使用。(8)振荡器和时钟产生电路,需要考虑石英晶体微调能力。允许振荡频率为12MHz,每个上述的部分都是通过部数据总线连接。其中CPU是一个芯片计算机的核心,它是计算机的指挥中心,是由算术单元和控制器等部分组成。算术单元可以进行8位算术运算和逻辑运算,ALU单元是其中一种运算器,18个存储设备,暂存设备的积累设备进行协调,程序状态寄存器PSW积累了2个输入端的计数等检查暂时作为一个操作往往由人来操作,谁储存1输入的是它使操作去上暂时计数,另有一个操作的结果,回环协调。此外,协调往往是作为对8051的数据传输转运站考虑。作为一般的微处理器,解码的顺序。振荡器和定时电路等的程序计数器是一个由8个计数器为2,总计16位。这是一个字节的地址,其实程序计数器,是将在个人电脑进行。从而改变它的容可以改变它的程序进行。在8051的单芯片电脑的电路,

信号采集与回放系统

信号采集与回放系统 技术报告 电信082班084775240 周霞 (合作者:电信082班084775228 吴迪) 指导教师:倪海燕 2010-5-27

摘要:本设计通过A/D转换和D/A转换实现输入信号与输出信号的变化。通过实验箱上的模式3的ADC输入正弦波信号,设计按键选择,有3种模式分别是直接回放,单次回放,循环回放和定点回放。 关键字:信号回放模式选择 一、实验要求 1. 实现输入,存储,回放信号 2. 回放模式选择(直接回放,单次波形回放,循环回放,分段存储定点回放等) 二、总原理图 三、系统总体方案设计 根据实验要求,TLC5510A 是采样率最高为20MHz的8位并行高速ADC ,FPGA的PIO48输出信号控制ADC1的输出使能信号OE(低电平有效);PIO15为转换时钟信号CLK;AD转换结果送至PIO16~PIO23,并且同时显示在数码管1和数码管2上。ADC的模拟信号输入端在实验箱的左侧,允许输入0~5V的信号。 转换关系:DATA=255×Ain/5

数据从采集到转换结束需要两个半时钟周期 四、软件电路的设计 4.1控制器的设计 用VHDL语言编写控制器的程序,要有读写使能和模式选择。用choose[2]的四个状态分别表示直接回放,单次回放,循环回放和定点回放。 程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity control is port ( clk:in std_logic; --时钟 writ:in std_logic; --读写使能 en:in std_logic; --使能 choose:in std_logic_vector(1 downto 0); --模式选择 ch:in std_logic_vector(1 downto 0); --阶段选择 enout:out std_logic; --读写使能输出 adr:out std_logic_vector(9 downto 0) ); --地址 end entity control; architecture behave of control is signal count1:std_logic_vector(9 downto 0); signal count11:std_logic_vector(9 downto 0); signal count2:std_logic_vector(9 downto 0); signal count22:std_logic_vector(9 downto 0); begin process(writ,en,ch,choose) begin if(en='1')then count1<="0000000000";count11<="0000000000"; count2<="0000000000";count22<="0000000000"; elsif (clk'event and clk='1')then if(choose="01")then ---- 单次回放

电压电流采样

电压电流采样 前言:在学习这个主题的时候,上网查了大量的资料,但大多都是基于电网里的交流大电压和大电流的采样,我个人觉得关于交流的采样以下链接有非常详尽的介绍,而我自己也只是对其进行了较为细致的阅读因为我们队里用的直流电压最大为24V,所以接下来我就直流电压及电流的采样说一下自己的见解。 一、基本电路设计及原理学习 1、电压采集回路的设计 工作原理如下所述:从分压电阻取来的电压信号经滤波后,被单片机周期采样。将采样信号转化为0~5V的模拟电压量送给单片机的A/D采样通道,使单片机能采集到当时的电压,以便进行稳压、稳流或限压、限流调节,为控制算法的分析、处理,实现控制、保护、显示等功能提供依据。 (公式推导参见电气专业的模电书,不作详细介绍) 根据上述原理,设计电压采样电路如图下图所示 由于521-4的四个光耦制的电流放电倍数是相同的。即

即把输入电压从较大的直流电压衰减到0~5V。 2、电流采集回路的设计 电流采集的原理图如上图所示。其工作原理与电压采集的原理基本相同,区别主要在电流的输入信号为分流器输出的信号,信号范围为0-75mV,显然信号太弱,对于分辨率不高的A/D精度显然不够。通过LM324将其放大。根据放大器的工作原理,放大的倍数为β=R63B/R61B=400K/10K=40。从而使得VI点的电压范围为0-3V,而VI点相对于AGNDW的电压与AC1点相对于AGND的电压的关系跟中,Vi点电压与AC0点电压的关系类似。在此处我们通过调节RW6,将0-75mV 的电压信号(分流器上的电压)放大到0-5V,供单片机采样。 二、自己设计(DIY) 经过一段时间的学习,我根据上述基本原理和所学知识设计了一款新的采样电路

ADC0809-多路数据采集和控制系统设计

1.设计目的 本设计包括确定控制任务、系统总体设计、硬件系统设计、软件程序的设计等,使学生进一步学习理解计算机控制系统的构成原理、接口电路与应用程序,巩固与综合专业基础知识和相关专业课程知识,提高学生运用理论知识解决实际问题的实践技能。 2. 设计内容 设计一由微机控制的A/D数据采集和控制系统,该卡具有对八个通道上0-5V的模拟电压进行采集的能力,且可以用键盘选择装换通道,选择ADC0809作为A/D转换芯片。并在显示器上动态显示采集的数据。 3. 设计要求 (1)根据题目要求的指标,通过查阅有关资料,确定系统设计方案,并设计其硬件电路图。 (2)画出电路原理图,分析主要模块的功能及他们之间的数据传输和控制关系。 (3)用protel软件绘制电路原理图。 (4)软件设计,给出流程图及源代码并加注释。 4. 系统总体设计步骤 第一步:信号调理电路 第二步:8路模拟信号的产生与A/D转换器 被测电压要求为0~5V的直流电压,可通过电位器调节产生。 考虑本设计的实际需要,我选择八位逐次比较式A/D转换器(ADC0809)。 第三步:发送端的数据采集与传输控制器 第四步:人机通道的借口电路 第五步:数据传输借口电路 用单片机作为控制系统的核心,处理来之ADC0809的数据。经处理后通过串口传送,由于系统功能简单,键盘仅由两个开关和一个外部中断组成,完成采样通道的选择,单片机通过接口芯片与LED数码显示器相连,驱动显示器相应同采集到的数据。

串行通信有同步和异步两种工作方式,同步方式传送速度快,但硬件复杂;异步通信对硬件要求较低,实现起来比较简单灵活,适用于数据的随机发送和接受。采用MAX485芯片的转换接口。 经过分析,本系统数据采集部分核心采用ADC0809,单片机系统采用8051构成的最小系统,用LED动态显示采集到的数据,数据传送则选用RS-485标准,实现单片机与PC机的通信。 数据采集与传输系统一般由信号调理电路,多路开关,采样保持电路,A/D,单片机,电平转换接口,接收端(单片机、PC或其它设备)组成。系统框图如4-1所示 图4-1 总体设计的系统框图 5.硬件系统的设计 5.1信号调理 信号调理的任务:将被测对象的输出信号变换成计算机要求的输入信号。多路数据采集输入通道的结构图如下图: 图5-1-1多路数据采集输入通道结构图

三相电信号采集电路设计方案

引言 当前,电力电子装置和非线性设备的广泛应用,使得电网中的电压、电流波形发生畸变,电能质量受到严重影响和威胁;同时,各种高性能家用电器、办公设备、精密试验仪器、精密生产过程的自动控制设备等对供电质量敏感的用电设备不断普及对电力系统供电质量 的要求越来越高,电能质量问题成为近年来各个方面关注的焦点,电能质量监测是当前国际上的一个研究热点[1],有必要对三相电信号进行高精度采集,便于进一步分析控制,提高电能质量。对电力参数的采样方法主要有两种,即直流采样法和交流采样法。直流采样法采样的是整流变换后的直流量,软件设计简单,计算方便,但测量精度受整流电路的影响,调整困难。交流采样法则是按一定规律对被测信号的瞬时值进行采样,再按一定算法进行数值处理,从而获得被测量,因而较之直流采样法更易获得高精度、高稳定性的测量结果[2]。 三相电信号采集电路设计 三相电信号采集电路框架 三相电信号采集电路的框架如图1所示。三相电压电流信号经过电压电流互感器转换为较低的电压信号。其中A相的电压信号经过波形调整成为频率与A相电压信号相同的方波信号,用于测量频率。同时将转换后方波频率信号进行频率的整数倍放大作为A/D转换的控

制信号。经过六路互感器降压后,将信号送入AD7656进行A/D转换,转换完的数字信号就可以供于DSP/MCU进行数据分析。 电压电流互感器的选用 电压/电流互感器均采用湖北天瑞电子有限公司TR系列检测用 电压输出型变换器。电压互感器采用检测用电压输出型电压变换器TR1102-1C,如图2为其结构图,规格为300V/7.07V,非线性度比差<+/-0.1%,角差<=+/-5分。电流互感器采用检测用电压输出型电流变换器TR0102-2C,规格为5A/7.07V,非线性度比差<+/-0.1%,角差<=+/-5分。 电源电路 AD7656共有两种模拟信号输入模式,一是模拟输入信号为二倍的参考电压(2.5V)即+/-5V之间,另一种是四倍的参考电压即+/-10V 之间。为提高采样的精度,本电路采用输入信号为+/-10V之间,因此需要+/-10V~+/-16.5V之间电源供电。AD7656同时需要5V的AVCC

基于ADC0809和51单片机的多路数据采集系统设计[图]

基于ADC0809和51单片机的多路数据采集系统设计[图] “数据采集”是指将温度、压力、流量、位移等模拟物理量采集并转换成数字量后,再由计算机进行存储、处理、显示和打印的过程,相应的系统称为数据采集系统。 本文的主要任务是对0~5V的直流电压进行测量并送到远端的PC机上进行显示。由于采集的是直流信号,对于缓慢变化的信号不必加采样保持电路,因此选用市面上比较常见的逐次逼近型ADC0809芯片,该芯片转换速度快,价格低廉,可以直接将直流电压转换为计算机可以处理的数字量。同时选用低功耗的LCD显示器件来满足其在终端显示采集结果的需求。终端键盘控制采用尽可能少的键来实现控制功能,为了防止键盘不用时的误操作,设计时还设置了锁键功能,在键盘的输入消抖方面,则采用软件消抖方法来降低硬件开销,提高系统的抗干扰能力。软件设计方面则采用功能模块化的设计思想;键盘模数转换等采用中断方式来实现,从而大大提高了单片机的效率以及实时处理能力。 1 数据采集系统的硬件结构 数据采集系统的硬件结构一般由信号调理电路、多路切换电路、采样保持电路、A/D转换器以及单片机等组成。本文主要完成功能的系统硬件框图。 2 ADC0809模数转换器简介 2.1 ADC0809的结构功能 本数据采集系统采用计算机作为处理器。电子计算机所处理和传输的都是不连续的数字信号,而实际中遇到的大都是连续变化的模拟量,模拟量经传感器转换成电信号后,需要模/数转换将其变成数字信号才可以输入到数字系统中进行处理和控制,因此,把模拟量转换成数字量输出的接口电路,即A/D转换器就是现实信号转换的桥梁。 目前,世界上有多种类型的A/D转换器,如并行比较型、逐次逼近型、积分型等。本文采用逐次逼近型A/D转换器,该类A/D转换器转换精度高,速度快,价格适中,是目前种类最多,应用最广的A/D转换器。逐次逼近型A/D转换器一般由比较器、D/A转换器、寄存器、时钟发生器以及控制逻辑电路组成。 ADC0809就是一种CMOS单片逐次逼近式A/D转换器,其内部结构。该芯片由8路模拟开关、地址锁存与译码器、比较器、8位开关树型D/A转换器、逐次逼近寄存器、三态输出锁存器等电路组成。因此,ADC0809可处理8路模拟量输入,且有三态输出能力。该器件既可与各种微处理器相连,也可单独工作。其输入输出与TTL兼容。 ADC0809是8路8位A/D转换器(即分辨率8位),具有转换起停控制端,转换时间为100μs 采用单+5V电源供电,模拟输入电压范围为0~+5V,且不需零点和满刻度校准,工作温度范围为-40~+85℃功耗可抵达约15mW。 ADC0809芯片有28条引脚,采用双列直插式封装,图3所示是其引脚排列图。各引脚的功能如下: IN0~IN7:8路模拟量输入端; D0~D7:8位数字量输出端; ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路; ALE:地址锁存允许信号,输入,高电平有效; START:A/D转换启动信号,输入,高电平有效; EOC:A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平); OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平才能打开输出三态门,输出为数字量; CLK:时钟脉冲输入端。要求时钟频率不高640kHz;REF(+)、REF(-):基准电压; Vcc:电源,单一+5V; GND:地。 ADC0809工作时,首先输入3位地址,并使ALE为1,以将地址存入地址锁存器中。此地址经

相关主题
文本预览
相关文档 最新文档