当前位置:文档之家› 计算机组成原理报告2

计算机组成原理报告2

计算机组成原理报告2
计算机组成原理报告2

沈阳工程学院

课程设计任务书

课程设计题目:基本模型机的设计与实现(第9组)

系别信息工程系班级计本084

学生姓名张哲学号 16

指导教师王健、王德君职称副教授、高级实验师起止日期:2010年12月20日起——至2010年12月26日止

沈阳工程学院

课程设计任务书

课程设计题目:基本模型机的设计与实现(第9 组)

系别信息工程系班级计本084

学生姓名张哲学号 16

指导教师王健、王德君职称副教授、高级实验师

课程设计进行地点:计算机组成原理实验室

任务下达时间: 2010年12月17日

起止日期:2010年12月20日起——至2010年12月26日止教研室主任王健 2010年12月13日批准

一、课程设计的原始资料及依据

查阅有关计算机组成原理的教材、实验指导书等资料,进一步熟悉微程序控制器原理,微指令的设计方法。在掌握运算器、存储器、微程序控制器等部件的单元电路实验的基础上,进一步将各部件组成系统,构造一台基本模型计算机。为给定的机器指令编写相应的微程序,上机调试,掌握整机概念。

二、课程设计主要内容及要求

1.认真阅读资料,掌握给定的机器指令的操作功能。 2.分析并理解数据通路图。

3.根据数据通路图画出给定的机器指令的微程序流程图。

4.根据微指令格式编写每条机器指令对应的微程序,形成“二进制微指令代码表”。 5.全部微程序设计完毕后,将微程序中各个微指令正确地写入E 2PROM 芯片2816中。 6.进行机器指令程序的装入和检查。

7.运行程序,检查结果是否和理论值一致。

8.IN 、INC 、JMP 指令为必做指令。ADD 和OUT 指令为选做指令,供有能力的学生完成。另外新定义1条机器指令重复上述过程。各组要求新定义的机器指令如下:

设计组编号

机器指令助记符

操作功能说明

1 AOR [addr1] , [addr2] [addr1] AND R0 OR [addr2]→R0

5 XOR [addr1] , [addr2] [addr1] XOR [addr2]→R0

6 SUB [addr1] , [addr2]

[addr1]-[addr2]→R0

7 OAD [addr] [addr]R0 [addr]) OR (R0→+8 AND [addr1], [addr2]

[addr1] AND [addr2]→R0

11 RAS [addr],2 R0 AND [addr]-2→R0

必做 IN “DATA UNIT ”中的开关状态→R0

必做 INC [addr] [addr]+1→R0 必做 JMP addr addr →PC 选作 ADD [addr] R0+[addr]→R0 选作

OUT [addr]

[addr]→BUS

9.记录出现故障的现象,并对故障进行分析,说明排除故障的思路及故障性质。 10.独立思考,认真设计。遵守课程设计时间安排。 11.认真书写课程设计说明书,避免相互抄袭。

三、对课程设计说明书撰写内容、格式、字数的要求

1.课程设计说明书是体现和总结课程设计成果的载体,主要内容包括:设计题目、设计目的、设备器材、设计原理、设计内容、设计步骤、实现方法及关键技术、遇到的问题及

解决方法、设计总结等。一般不应少于3000字。

2.在适当位置配合相应的实验原理图、数据通路图、微程序流程图、实验接线图、微指令代码表等图表进行说明。应做到文理通顺,内容正确完整,书写工整,装订整齐。

3.设计总结部分主要写本人设计期间所做工作简介、得到了哪些设计成果、以及自己的设计体会,包括通过课程设计有何收获,程序有哪些不足之处,哪里遇到了困难,解决的办法,以及今后的目标。

4.课程设计说明书手写或打印均可,具体要求如下:

?手写时要用统一的课程设计用纸格式,用黑或蓝黑墨水工整书写;

?打印时采用A4纸,页边距均为20mm,目录、各章标题(如: 2 设计原理及内容)

和设计总结等部分的标题用小三号黑体,上下各空1行,居中书写;一级节标题(如:

2.1 设计原理)采用黑体四号字,二级节标题(如: 2.1.1数据通路)采用黑体小四号字,

左对齐书写。

?正文采用宋体小四号字,行间距18磅,每个自然段首行缩进2个字。

?图和表的要有编号和标题,如:图2.1数据通路图;表1.1机器指令表。图题与表

题采用宋体五号字。表格内和插图中的文字一般用宋体五号字,在保证清楚的前提

下也可用更小号的字体。

?英文字体和数字采用Time New Roman字体,与中文混排的英文字号应与周围的汉

字大小一致。

?页码用五号字,在每页底端居中放置。

5.课程设计说明书装订顺序为:封面、任务书、成绩评定表、设计小组任务分配及自评、目录、正文、设计总结。在左侧用订书钉装订,不要使用塑料夹。

6.设计小组任务分配及自评处注明设计组编号、设计组组长、设计组成员,并由设计组组长给出评语。包括该同学主要完成了哪些任务,课程设计期间的表现和态度如何,组长自己的评语由小组其他成员集体讨论后写出。

四、设计完成后应提交成果的种类、数量、质量等方面的要求

1.完成题目要求的机器指令的操作功能,微程序运行稳定。

2.提交课程设计说明书打印版及Word文件。

五、时间进度安排

六、主要参考资料(文献)

[1]王健、王德君.计算机组成原理实验指导书.沈阳工程学院,2009

[2]白中英.计算机组成原理(第4版).北京:科学出版社,2008

[3]蒋本珊.计算机组成原理.北京:清华大学出版社,2005

[4]唐朔飞.计算机组成原理.北京:高等教育出版社,2003

沈阳工程学院

计算机组成原理课程设计成绩评定表

系(部):信息工程系班级:计本084班学生姓名:张哲

摘要

“计算机组成原理”是计算机科学与技术系的一门核心专业基础课程。从课程地位来说,它在先导课与后续课之间起着承上启下的作用。

本次设计将在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列完成,即一条机器指令对应一条微程序。

本系统使用两种外部设备,一种是二进制代码开关(DATA UNIT),它作为输入设备;另一种是发光二极管(BUS UNIT上的一组发光二极管),它作为输出设备。例如:输入时,二进制开关数据送到数据直接经过三态门送到总线上,只要开关状态不变,输入的信息也不变。输出时,将输出数据送到数据总线BUS上,驱动发光二极管显示。

此次课设主要完成六条机器指令:IN(输入)、ADD(二进制加法)、SUB(存数)、INC(地址加1)、OUT(输出)、JMP(无条件转移)。我们先对指令进行了分析,根据数据通路图画出了每个微程序的流程图,根据微程序地址转移电路计算出每个微程序的起始地址,根据起始地址对每一条微指令编码,编码之前我们必须弄清每条微指令由那些信号控制,并且要了解信号的“1”、“0”代表什么。对每一条微指令编码后将微指令写入到实验箱中,此外我们也要对控制台操作微程序进行编码。根据实验接线图接线检查无误后,使用控制台KWE和KRD微程序进行机器指令程序的装入和检查。使用RP启动程序。单步运行程序检查实验结果。

关键词计算机组成原理,机器指令,微指令,微程序

目录

摘要....................................................................................................................................................... I 1总体概述. (1)

1.1设计题目 (1)

1.2设计目的 (1)

1.3设备器材 (1)

1.4设计原理 (1)

1.4.1设计基本原理 (1)

1.4.2需要执行的机器指令 (1)

1.4.3数据通路图 (2)

1.4.4微指令格式 (3)

1.4.5微程序地址的转移 (3)

1.4.6机器指令的写入、读出和执行 (4)

2设计步骤 (6)

2.1分配存储地址 (6)

2.2画微程序流程图 (6)

2.3编写微指令二进制代码 (7)

2.4连接电路 (8)

2.5写程序 (8)

2.6运行程序 (10)

3实验结果及分析 (11)

3.1运行结果 (11)

3.2遇到的问题 (11)

3.3解决办法 (11)

总结 (12)

1总体概述

1.1设计题目

基本模型机的设计与实现

1.2设计目的

1.在掌握部件单元电路实验的基础上,进一步将其组成系统,构造一台基本模型计算机。

2.为其定义六条机器指令,并编写相应的微程序,上机调试,掌握整机概念。

1.3设备器材

TDN-CM计算机组成原理教学实验系统一台,排线若干

1.4设计原理

1.4.1设计基本原理

本次设计将在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列完成,即一条机器指令对应一条微程序。

本系统使用两种外部设备,一种是二进制代码开关(DATA UNIT),它作为输入设备;另一种是发光二极管(BUS UNIT上的一组发光二极管),它作为输出设备。例如:输入时,二进制开关数据送到数据直接经过三态门送到总线上,只要开关状态不变,输入的信息也不变。输出时,将输出数据送到数据总线BUS上,驱动发光二极管显示。

1.4.2需要执行的机器指令

本次设计采用六条机器指令:IN(输入数据)、ADD(二进制加法)、SUB(存数)、INC(地址加1)、OUT(输出结果)、JMP(无条件转移),其指令格式如表1.1机器指令格式所示。

表1.1机器指令格式

助记符机器指令码说明

IN 0000 0000 “DATA UNIT”中的开头状态→R0

ADD addr 0001 0000 XXXXXXXX R0+[addr]→R0

INC addr 0010 0000 XXXXXXXX [addr]+1 → R0

SUB addr1,addr2 0011 0000 XXXXXXXX SUB[addr]-R0-5 R0

OUT addr 0100 0000 XXXXXXXX [addr]→BUS

JMP addr 0101 0000 XXXXXXXX addr→PC

其中机器指令码的最高4位为操作码。IN为单字长(8位),其余为双字长指令,XXXXXXXX为addr对应的二进制地址码。

1.4.3数据通路图

实验系统的数据通路图,如图1.1数据通路图所示。

图1.1数据通路图

注意:

1.片选信号CE=0为有效电平,CE=1为无效电平。

2.WE=1为写入,WE=0为读出。

3.LOAD和LDPC同时为“1”时,可将总线上的数据装入到PC中;LDPC为“1”,同时LOAD为“0”时,将PC中内容加1。

4.M=0为算术运算,M=1为逻辑运算。

https://www.doczj.com/doc/a810073162.html,=0表示运算开始时低位有进位,否则低位无进位。

1.4.4微指令格式

微指令字长共24位,其控制位顺序如图1.2所示

图1.2 微指令格式

其中UA5~UA0为下一条微指令微地址,A、B、C为三个译码字段,分别由三个控制位译码出多种不同控制信号。

A字段中的LDRi为打入工作寄存器信号弹的译码器使能控制位。B字段中的RS-B、RD-B、RI-B分别为源寄存器选通信号、目的寄存器选通信号弹及变址寄存器选通信号,其功能是根据机器指令来进行三个工作寄存器R0、R1及R2的选通译码。C字段中的P(1)~P (4)是四个测试字位。其功能是根据指令性及相应微代码进行译码,使微程序转入相应的微地址入口,从而实现微程序的顺序、分支、循环运行,其原理如图形所示。AR为算术运算是否影响进位及判零樗控制位,其为零有效。

注意:根据后面的实验接线图,A字段的LDRi与数据通路图中的RDR0为同一个信号。B字段的RS-B不数据通路图中的R0-B为同一个信号。

1.4.5微程序地址的转移

本实验系统的指令寄存器(IR)用来保存当前执行的一条指令。当执行一条指令时,先把该指令从内存取到缓冲寄存器中,然后再传送至指令寄存器。指令划分为操作码和地址码字段,由二进制数构成,为了执行任何给定的指令,必须对操作码进行测试[P(1)],通过节拍脉冲T4的控制以便识别所要求的操作。“指令译码器”(板上标有“INSDECODE”的芯片)根据指令中的操作码译码后的结果,将微控器单元的微地址修改为下一条微指令的地址。

地址修改要领先实验系统的微程序地址转移电路来完成,该电路如图1.3所示。

图中左侧的FC、FZ、P(1)~(4)均为低电平有效。当T4有正脉冲信号到来时该电路开始工作。I7~I2中输入指令寄存器的第7~2位,SE5~SE1为微程序地址转移电路的输出结果。

根据SE5~SE1的值,实验系统自动将下一条微指令的原始地址UA4~UA0修改为SE5~SE1的值与下一条微指令的原始地址UA4~UA0进行按位操作,SE5~SE1中为1的位UA4~UA0中的位保持不变,而SE5~SE1中为0的位对应的原始地址UA4~UA0中的位强置为1。

1.4.6机器指令的写入、读出和执行

为了向RAM中装入机器指令程序和数据,检查写入是否正确,并能启动机器指令程序执行,还必须设计三个控制台操作微程序。

存储器读操作(KRD):拨动总清开关CLR后,控制台开关SWB、SWA置为“01”时,按START微动开关可对RAM进行连续手动写入。

存储器写操作(KWE):拨动总清开关CLR后,控制台开关SWB、SWA置为“0 1”时,按START微动开关可对RAM进行连续手动写入。

图1.3微程序地址转移电路

启动程序:拨动总清开关CLR后,控制台开关SWB、SWA置为“11”时,按START微动开关,即可转入到第01号“取指”微指令,启动程序运行。

上述三条控制台指令用两个开关SWB、SWA的状态来设置,其定义如表1.2所示。

表1.2控制台指令

三个控制台操作程序的流程如图1.4控制台操作微程序流程图所示。

图1.4控制台操作微程序流程图

注意:微程序流程图上的单元地址为8进制。

控制台操作为P(4)测试,它以控制台开关SWB、SWA作为测试条件,出现了3路分支,占用3个固定微地址单元。当分支微地址单元固定后,剩下的其它地方就可以一条微指令占用控制存储器一个微地址单元随意填写。

当设计“取指”微指令时,该微指令的判别测试字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的5位(IR7-IR2)作为测试条件,出现6路分支,占用6个固定微地址单元。

2设计步骤

2.1分配存储地址

机器指令程序及数据存放地址如表2.1机器指令程序及数据存放地址所示。

表2.1 机器指令程序及数据存放地址

2.2画微程序流程图

根据每条机器指令的功能,为每条机器指令画出微程序流程图,并为其中的每条微指令分配地址。微程序流程图如图2.1所示。

地址(二进制) 内容(二进制) 助记符 说明

0100 0000 0000 0000 IN “DA TA UNIT”→R0

0100 0001 0001 0000 ADD[4FH]

R0+[4FH]→R0

0100 0010 0100 1111 0100 0011 0010 0000 INC[4DH]

[4DH]+1→ R0

0100 0100 0100 1101 0100 0101 0000 0001 0100 0110 0011 0000 SUB[4EH]5

[4EH]-R0-5→R0

0100 0111 0100 1110 0100 1000 0000 0101 0100 1001 0100 0000 OUT[4DH]

[4DH]→BUS

0100 1010 0100 1101 0100 1011 0101 0000 JMP [40H]

40H →PC

0100 1100 0100 0000 0100 1101 0000 0011 0100 1110 0001 0000 求和结果

0100 1111

0000 0010

2.3编写微指令二进制代码

将画好的微程序流程图中每一CPU周期的微操作转化成二进制代码,如表2.2微指令二进制代码所示。

机器

指令微地址S3 S2 S1 S0 M CN WE CE LD

PC

A B C uA5~uA0

KT 000000 0 0 0 0 0 0 0 1 1 101 110 100 010000 010000 0 0 0 0 0 0 0 1 1 110 111 000 010010 010010 0 0 0 0 0 0 0 0 0 000 000 000 010000 010001 0 0 0 0 0 0 0 1 1 110 111 000 010100 010100 0 0 0 0 0 0 1 0 0 000 110 000 010001 010011 0 0 0 0 0 0 0 1 1 110 111 000 000001 000001 0 0 0 0 0 0 0 0 0 100 000 001 100000

INC 100010 0 0 0 0 0 0 0 1 1 110 111 000 101010 101010 0 0 0 0 0 0 0 0 0 110 000 000 101011 101011 0 0 0 0 0 0 0 0 0 010 000 000 101100 110110 0 0 0 0 0 0 0 1 1 110 111 000 101100 101100 0 0 0 0 0 0 0 0 0 011 000 000 101101 101101 1 0 0 1 0 1 0 1 0 001 101 000 010011

JMP 100101 0 0 0 0 0 0 0 0 1 110 111 000 110000 110000 0 0 0 0 0 0 0 0 1 101 000 000 010011

2.4连接电路

按图2.2连接实验线路,仔细查线路无误后接通电源。

2.5写程序

(1)编程

A、将编程开关置为PROM(编程)状态。

B、将实验板上“STATE UNIT”中的“STEP”置为“STEP”,“STOP”置为“RUN”状态。

C、用二进制模拟开关置微地址MA5-MA0。

D、在MK23-MK0开关上置微代码,24位开关对应24位显示灯,开关量为“0”时灯亮,开关量为“1”时灯灭。

E、启动时序电路(按动启动按钮“START”),即将微代码写入到E2PROM2816的相应地址对应的单元中。

F、重复C-E步骤,将图表2.2二进制代码表中的微代码写入2816。

图2.2实验接线图

(2)校验

A、将编程开关设置为READ(校验)状态。

B、将实验板的“STEP”开关置为“STEP”状态。“STOP”开关置为“RUN”状态。

C、按动“START”键,启动时序电路,读出微代码。观察显示灯MD23-MD0的状态(灯亮为“0”,灭为“1”),检查读出的微代码是否与写入的相同。如果不同,则将开关置于PROM 编程状态,重新执行(1)即可。校验正确后就可进行下一步。

(3)使用控制台KWE和KRD微程序进行机器指令程序的装入和检查。

A、使编程开关处于“RUN”,STEP为“STEP”状态,STOP为“RUN”状态。

B、拨动总清开关CLR(0->1),微地址寄存器清零。此时用“DATA UNIT”单元的8位二进制开关给出要写入RAM区的首地址,控制台SWB、SWA开关置为“01”,按动一次启动开关START,微地址显示灯显示“010001”,再按动一次“START”,微地址灯显示“010100”,此时数据开关的内容置为要写入的机器指令,按动一次START键,即完成该条指令的写入。若仔细阅读KWE的流程,就不难发现,机器指令的首地址只要第一次给入即可,PC会自动加1,所以,每次按动START,只有在微地址灯显示“010100”时,才设置内容,直到所有机器指令写完。

C、写完程序后须进行校验。拨动总清开关CLR(0->1)后,微地址清零。此时用“DATA UNIT”单元的8位二进制开关置要读的RAM区的首地址,控制台开关SWB、SWA为“00”,

按动启动START,微地址灯将显示“010000”,再按START,微地址灯显示为“010010”,第三次按START,微地址灯显示为“010000”,此时总线单元的显示灯显示为该首地址的内容。不断按动START,可检查后续单元内容,注意:每次仅在微地址灯显示为“010000”时,显示灯的内容才是相应地址中的机器指令内容。

2.6运行程序

(1)单步运行程序

A、使编程开关处于“RUN”状态,STEP为“STEP”状态,STOP为“RUN”状态。

B、拨动总清开关CLR(0->1-),微地址清零。

C、将“DATA UNIT”的8位数据开关(D7-D0)设为置好的机器指令首地址(本次设计为40H)。

D、按动START启动键,单步运行一条微指令,每按动一次START键,即单步运行一条微指令。对照微程序流程图,观察微地址显示灯是否和流程一致。

E、当运行结束后,可检查存数单元(4B)中的结果是否和理论值一致。

(2)连续运行程序

A、使“STATE UNIT”中的STEP开关置为“EXEC”状态。STOP开关置为“RUN”状态。

B、将“DATA UNIT”的8位二进制开关设置为机器指令程序首地址(本次设计为40H),然后按动START,系统连续运行程序,稍后将STOP拨至“STOP”时,系统停机。

C、停机后,可检查存数单元(4B)结果是否正确。

3实验结果及分析

3.1运行结果

根据单步运行程序的具体步骤,运行程序观察运行结果,将每个开关设置好后,将“DATA UNIT”的8位数据开关(D7~D0)设置为机器指令首地址。每按一次start键就会执行一条微指令,第一次按动start键,微地址灯将显示“010011”,再按start键,微地址灯将显示“000001”,继续按start键,微地址灯将显示“100000”,继续按则会暗示“100000”即IN指令的首地址,此时用“DATA UNIT”单元的8位二进制开关输入要输入的数据,设输入为“00000001”,继续按start键,微地址灯将显示“010011”即返回到其指令阶段,取出下一条要执行的微指令即ADD操作,不断按动start键,则会顺序的完成每一条指令,当运行到OUT指令时则会输出4FH地址中的内容,40H中的内容经过运算后,应输出“11111101”,运行结果与预期结果相符。

3.2遇到的问题

1.在输入完机器指令后,进行校验过程中首地址的内容显示为“01000000”;

2.在画流程图的时候,INC操作出现错误;

3.由于没有充分理解控制台微程序造成写机器指令时出现错误;

4.运行程序时出现问题,程序无法正常运行。

3.3解决办法

1.检验线路的连接,发现“LOAD”的线接错了,纠正过来;

2.在老师的帮助下以及经过组内同学的研究讨论成功解决;

3.由于没有充分理解控制台微程序造成写机器指令时出现错误;

4.对于运行错误是由于微指令输入错误所导致,经过对输入的每一条微指令的校验将才错误指令修正后,程序得以成功运行。

总结

本次计算机组成原理课程设计实训,我组顺利的完成了实训内容,课设过程中大家分工明确,且互相合作。我们先从问题根源入手,以理论为基础进行操作、解决问题,课程设计中每一部分都由全体小组成员共同完成,成功的完成本次实训任务体现了我们小组的团队精神,相信在以后的课程设计中我们小组还会做得更好。

在开始设计时,由于对操作流程的不熟悉,感觉无从下手,不知道具体应该做些什么。但在老师的细心讲解下和同学的帮助下,对每一步操作流程都有了相应的了解,也对此次课程设计的内容有了明确的概念。

在设计中,从开始分析数据通路图到对指令流程图的分析、设计,以及微指令的编码、写入,都遇到了很多的问题。例如:不知如何画微程序流程图,如何去执行程序等。在连接线路的时候也要特别小心,由于线路的复杂,在连接过程中,很容易出现接线的引脚连错或有线路漏接的情况,所以在实验过程中就要求小组人员的细心操作,另外的小组成员要对接线进行检查。微指令的写入和读出过程都正确,没有出现问题,但在写入机器指令的过程中,却发现数据的读出与写入不一致,通过检查,发现微指令输入有误,经过修改后,每条指令都能执行。

在这次课设中,使我感受颇深,学习并不是一件容易的事,要想学有所用,在学习中就不能有一点马虎的地方,每个问题都要研究得明明白白,这样才能在实践中占领先地位。在学习上也要有坚强的毅力、信心和细心。课设中,面对着复杂的数据通路图,重复“0”和“1”数字的操作,不免让人烦躁,但我们也深刻感受到烦躁解决不了问题只会带来更大的麻烦,只要有一个数据输错程序就无法正常运行。

最后希望老师能够给我们多次提供实践的机会,让我们学到的知识有所用,也让我们知道如何去应用,提高我们的实践能力。

《计算机组成原理》课后习题答案(唐朔飞第二版)

第一章计算机系统概论 1.什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。 PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理报告

武汉华夏理工学院 课程设计课程名称计算机组成原理 题目模型机设计与实现 专业计算机科学与技术 班级计算机1165 姓名 成绩 指导教师田夏利 2018 年 1 月 8日 课程设计任务书

设计题目:模型机设计与实现 设计目的: 利用基本模型机的构建与调试实验,完整地建立计算机硬件的整机模型,掌握CPU的基本结构和控制流程,掌握指令执行的基本过程。 设计任务(在规定的时间内完成下列任务) 1.掌握CISC微控制器功能与微指令格式 2.设计五条机器指令,并编写对应的微程序 3.在TDN-CMA教学实验系统中调试机器指令程序,确认运行结果 时间安排(集中时间) 1.第19周周一(1-4):全体集中讲解课程设计原理与方法 2.第19周周一~周四(1-4):分班调试,撰写设计报告 3.第19周周五:验收及答辩。 具体要求 1.周一:熟悉任务,掌握设备 2.周一:完成模型机的实验线路连接 3.周二:调试模型机,记录实验结果 4.周三:拟定课程设计报告大纲 5.周四、五:撰写并打印课程设计报告 目录 1.课程设计....................................... 错误!未定义书签。

课程设计题目...............................................错误!未定义书签。课程设计目的...............................................错误!未定义书签。实验设备...................................................错误!未定义书签。2概要设计....................................... 错误!未定义书签。原理.......................................................错误!未定义书签。数据通路框图...............................................错误!未定义书签。微指令格式.................................................错误!未定义书签。微程序流程图...............................................错误!未定义书签。微指令二进制代码表.........................................错误!未定义书签。实验步骤........................................ 错误!未定义书签。 实验接线图.............................................错误!未定义书签。 操作步骤...............................................错误!未定义书签。3实验过程....................................... 错误!未定义书签。输入数据...................................................错误!未定义书签。结果.......................................................错误!未定义书签。4设计总结....................................... 错误!未定义书签。设计体会...................................................错误!未定义书签。 1.课程设计 课程设计题目 基本模型机设计与实现

计算机组成原理实验-实验二

实验报告 课程名称计算机组成原理部件实验 实验项目实验二运算器组成实验 系别___ _计算机学院 _ ______ 专业___ 计算机科学与技术 ___ 班级/学号___计科1601/55___ 学生姓名 ______罗坤__ ________ 实验日期_(2018年4月12日) 成绩_______________________ 指导教师吴燕

实验二运算器组成实验一.实验目的 (1)掌握算术,逻辑运算单元的工作原理。 (2)熟悉多通用寄存器结构的简单运存器。 (3)进一步熟悉运算器的结构传送通路及控制方法。(4)按给定的各种操作流程完成运算。 二.实验电路

三.试验设备 数据通路板(B板)、控制信号板(A板)各一块。 四.实验数据 R0 ○OH→R0 SW=OH SW-BUS Ys1Ys0=11 LDR0,T4 R1 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○(DR1)+1→R1 000001 ALU YS1YS0=11 LDR1,T4 YS1YS0=00 R1-BUS R2 ○**H→R2 SW=**H SW-BUS YS1YS0=11 LDR2,T4 ○(R2)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2非)→R2 010110 ALU YS1YS0=11

YS1YS0=00 R2-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2) →R0 YS1YS0=00 LDR0,T4 YS1YS0=00 R0-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○**H→R0 SW=**H SW-BUS Ys1Ys0=11 LDR0,T4 ○(R0)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR1)-(DR2)→R0 011001 ALU YS1YS0=11 LDR2,T4 YS1YS0=00

计算机组成原理知识点总结——详细版

计算机组成原理2009年12月期末考试复习大纲 第一章 1.计算机软件的分类。 P11 计算机软件一般分为两大类:一类叫系统程序,一类叫应用程序。 2.源程序转换到目标程序的方法。 P12 源程序是用算法语言编写的程序。 目标程序(目的程序)是用机器语言书写的程序。 源程序转换到目标程序的方法一种是通过编译程序把源程序翻译成目的程序,另一种是通过解释程序解释执行。 3.怎样理解软件和硬件的逻辑等价性。 P14 因为任何操作可以有软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。对于某一机器功能采用硬件方案还是软件方案,取决于器件价格,速度,可靠性,存储容量等因素。因此,软件和硬件之间具有逻辑等价性。 第二章 1.定点数和浮点数的表示方法。 P16 定点数通常为纯小数或纯整数。 X=XnXn-1…..X1X0 Xn为符号位,0表示正数,1表示负数。其余位数代表它的量值。 纯小数表示范围0≤|X|≤1-2-n 纯整数表示范围0≤|X|≤2n -1

浮点数:一个十进制浮点数N=10E.M。一个任意进制浮点数N=R E.M 其中M称为浮点数的尾数,是一个纯小数。E称为浮点数的指数,是一个整数。 比例因子的基数R=2对二进制计数的机器是一个常数。 做题时请注意题目的要求是否是采用IEEE754标准来表示的浮点数。 32位浮点数S(31)E(30-23)M(22-0) 64位浮点数S(63)E(62-52)M(51-0) S是浮点数的符号位0正1负。E是阶码,采用移码方法来表示正负指数。 M为尾数。P18 P18

2.数据的原码、反码和补码之间的转换。数据零的三种机器码的表示方法。 P21 一个正整数,当用原码、反码、补码表示时,符号位都固定为0,用二进制表示的数位值都相同,既三种表示方法完全一样。 一个负整数,当用原码、反码、补码表示时,符号位都固定为1,用二进制表示的数位值都不相同,表示方法。 1.原码符号位为1不变,整数的每一位二进制数位求反得到反码; 2.反码符号位为1不变,反码数值位最低位加1,得到补码。 例:x= (+122)10=(+1111010)2原码、反码、补码均为01111010 Y=(-122)10=(-1111010)2原码11111010、反码10000101、补码10000110 +0 原码00000000、反码00000000、补码00000000 -0 原码10000000、反码11111111、补码10000000 3.定点数和浮点数的加、减法运算:公式的运用、溢出的判断。 P63 已知x和y,用变形补码计算x+y,同时指出结果是否溢出。 (1)x=11011 y=00011 (2)x=11011 y=-10101 (3)x=-10110 y=-00001

计算机组成原理第二版课后习题详细答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理课程设计报告

计算机组成原理课程设计报告 课程设计题目:计算机组成原理 专业名称:计算机科学与技术班级: 2013240202 关童:201324020217 张一轮:201324020218 孙吉阳:201324020219 张旭:201324020220 老师姓名:单博炜 2015年12月31日

第一章课程设计概述 1.1 课程设计的教学目的 本课程设计的教学目的是在掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握数据信息流和控制信息流的流动过程,进一步加深计算机系统各模块间相互关系的认识 无条件转移),其指令格式如表1(前4位是操作码): 表1: IN为单字长(8位),含义是将数据开关8位数据输入到R0寄存器;ADD为双字长指令,第一字为操作码,第二字为操作数地址,其含义是将R0寄存器的内容与内存中以A为地址单元的数相加,结果放在

R0;STA为双字长指令,含义是将R0中的内容存储到以第二字A为地址内存单元中;OUT为双字长指令,含义是将内存中以第二字为地址的数据读到数据总线上,由数码管进行显示;JMP是双字长指令,执行该指令时,程序无条件转移到第二字所指定的内存单元地址。 为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还设计了三个控制台操作微程序:存储器读操作”(KRD):拨动总清开关CLR后,当控制台开关SWB、SWA置为“00”时,按START 微动开关,可对RAM进行连续手动读操作;存储器写操作(KWE):拨动总清开关CLR后,当控制台开关SWB、SWA置为“01”时,按START微动开关,可对RAM进行连续手动写入;启动程序:拨动总清开关CLR后,当控制台开关SWB、SWA置为“11”时,按START微动开关,即可转入第01号“取指”微指令,启动程序运行。这三条控制台指令用两个开关SWB、SWA的状态来设置,其定义如表2:表2: C字段: 按照数据通路可画出机器指令的微程序流程图如图2所示,当拟定“取值”微指令时,该微指令的判

计算机组成原理2

计算机组成原理 一、单项选择题(本大题共20小题,每小题1分,共20分) 在每小题列出的备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。 1.[X]补=1.000……0,它代表的真值是( )。 A.-0 B.-1 C.+1 2.16. CPU响应中断的时间是( )。 A.一条指令结束; B.外设提出中断; C.取指周期结束。 3.存储字是指( )。 A.存放在一个存储单元中的二进制代码组合 B.存放在一个存储单元中的二进制代码个数 C.存储单元的集合。 4.下列说法中( )是正确的 A.指令周期等于机器周期; B.指令周期大于机器周期; C.指令周期是机器周期的两倍 5.计算机只懂机器语言,而人类熟悉高级语言,故人机通信必须借助( )。, A.编译程序; B.编辑程序; C.连接程序; D.载入程序。 6.补码10110110代表的是十进制负数( )。 A.-74

B.-54 C.-68 D.-48 7.设寄存器位数为8位,机器数采用补码形式(含一位符号位)。对应于十进制数-27,寄 器内容为( )。 A.27H B.9DH C.E5H。 8.堆栈指针SP的内容是( )。 A.栈顶单元内容 B.栈顶单元地址 C.栈底单元内容 D.栈底单元地址 9.单地址指令( )。 A.只能对单操作数进行加工处理 B.只能对双操作数进行加工处理 C.无处理双操作数的功能 D.既能对单操作数进行加工处理,也能在隐含约定另一操作数(或地址)时,对双操 作数进行运算 10.电子计算机的算术/逻辑单元、控制单元及存储器合称为( )。 A.CPU B.ALU C.主机; D.UP 11.挂接在总线上的多个部件()。 A.只能分时向总线发送数据,并只能分时从总线接收数据 B.只能分时向总线发送数据,但可同时从总线接收数据 C.可同时向总线发送数据,并同时从总线接收数据

计算机组成原理心得

学习计算机组成原理的心得体会 学习了一个学期的《计算机组成原理》这门课程。在郄君老师给我们讲《计算机组成原理》这门课程的学期了,我们对于计算机都有了更深的认识和了解。计算机技术是世界上发展最快的科学技术之一,产品不断升级换代。当前计算机正朝着巨型化、微型化、智能化、网络化等方向发展,计算机本身的性能越来越优越,应用范围也越来越广泛,从而使计算机成为工作、学习和生活中必不可少的工具。对于计算机我们只是一个小小的探索者,还有更大的知识海洋等待着我们去挖掘,去学习。 这学期开始,在郄老师的讲课中我们由浅及深的学习了《计算机组成原理》这门课程。从第一章计算机的概论讲起讲了计算机的发展,分类及应用;计算机的工作过程与性能指标;计算机系统的基本组成;计算机系统的层次结构。然后又分别给我们讲述了计算机中数据的表示;运算方法和运算器;指令系统;中央处理器;储存器等等通过郄老师对于计算机各个方面深入细致的讲解我们对于计算机有了跟多的理解和认识。在对于今后对计算机接触中,给予了我们莫大的帮助,《计算机组成原理》这门课程对我们今后的工作学习也有着不可磨灭的作用。

计算机系统由硬件和软件两大部分组成. (1)硬件的组成(输入设备,输出设备,存储器,运算器,控制器) 输入设备:使计算机从外部获得信息的设备如鼠标,键盘,光笔,扫描仪,话筒,数码相机,摄像头, 手写板输出设备:把计算机处理信息的结果以人们能够识别的形式表示出来的设备如显示器,打印机,绘图仪,音箱,投影仪存储器:如硬盘,光驱,U盘运算器:算术运算,逻辑运算控制器:如从存储器中取出指令,控制计算机各部分协调运行控制器和运算器整合在CPU中(2)软件的组成软件定义:程序和有关文档资料的合称软件分类:系统软件(使用和管理计算机的软件)和应用软件(专为某一应用编制的软件) 常见的系统软件有:操作系统,数据库管理系统和程序设计语言常见的应用软件有:辅助教学软件,辅助设计软件,文字处理软件, 信息管理软件和自动控制软件。《计算机组成原理》中也涉及到1,计算机的特点。发展概况。应用领域。分类。发展趋势。系统的组成。2,数据在计算机中的表示。以及转化。运算规则。和编码。3,运算方法和运算器。4,指令、格式,寻址方式,类型和功能。5,存储系统。6,中央处理器。(CPU),功能,组成,时序。指令周期,基本原理。7,系统总线。概念,分类,组成。借口和总线结构。8,输入输出系统。外设,查询方式。9,外围设备。输入和输出。以及外存、等等有关于计算机的多种方面的知识。

计算机组成原理实验报告

计算机组成原理课程设计 报告 指导教师: 班级: 姓名: 学号:

一、目的和要求 1.实验目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 2、实验要求: 要进行这项大型实验,必须清楚地懂得: (1)TEC-2机的功能部件及其连接关系; (2)TEC-2机每个功能部件的功能与具体组成; (3)TEC-2机支持的指令格式; (4)TEC-2机的微指令格式,AM2910芯片的用法; (5)已实现的典型指令的执行实例,即相应的微指令与其执行次序的安排与衔接; (6)要实现的新指令的格式与功能。 二、实验环境 PC机模拟TEC-2机 三、具体内容 一、实验内容: 选定指令格式、操作码,设计如下指令: (1)把用绝对地址表示的内存单元ADDR1中的内容与内存单元ADDR2中的内容相减,结果存于内存单元ADDR3中。 指令格式:D4××,ADDR1,ADDR2, ADDR3 四字指令(控存入口100H) 功能: [ADDR3]=[ADDR1]-[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0 DR SR,ADDR (SR,DR源、目的寄存器各4位)双字指令(控存入口130H) 功能: DR=SR+ [ADDR] (3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5 DR SR,ADDR 双字指令(控存入口140H) 功能: if DR==SR goto ADDR else 顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEM PC(即ADDR PC) 而当DR!=SR时Z=0,微程序跳转至A4。 二、实验要求: (1)根据内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)设计测试程序、实验数据并上机调试。 (3)设计报告内容:包括1、设计目的2、设计内容3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) (4)课程设计实验报告必须打印成册,各班班长收齐大型实验报告于18周星期六下午(15:00)前,交张芳老师办公室。 四、实验程序与分析: (一).把用绝对地址表示的内存单元ADDR1中的内容与内存单元ADDR2中的内容相减,结果存于内存单元ADDR3中。 指令格式:D4××,ADDR1,ADDR2, ADDR3 四字指令(控存入口100H)

计算机组成原理心得

学习《计算机组成原理》的心得体会 进入了大二的最后一个学期,本学期都是专业课程,对专业知识的要求也有了提高。本学期学习了《计算机组成原理》让我对计算机系统的组成和工作原理有了较深的理解与感受,也让我对计算机有了一个崭新体会与理解。 《计算机组成原理》是计算机专业一门核心专业基础课,在专业课程内有着非常重要的作用,对于要学习计算机专业的学生来说是一门非常重要的课程,这门课程要求我们通过基础知识的学习,简化问题,理解模型机的工作过程,从而建立计算机系统、计算机整机运行原理的概念,而且计算机的组成及运行原理的基本思想已经渗透到由计算机衍生出来的许多领域,而且我们要想真正理解软件,就必须理解硬件,软件和硬件共存于计算机系统中。 首先计算机组成原理的第一章是计算机概论。计算机是由硬件和软件组成的,计算机的硬件包括运算器,存储器,控制器,适配器,输入输出设备等。软件也是计算机系统结构的重要组成部分,也是计算机不同于一般电子设备的重要根源所在。计算机系统是一个由硬件和软件组成的多层次结构。 而第二章是计算机中的数据表示。我们在这章中要理解计算机中的各种进位计数制,并且必须掌握二进制与十进制之间的转换方法,这是一项学好这门课必须掌握的,接下来要理解数的原码、补码、和反码的概念,还要理解定点数、浮点数的概念和表示方法,掌握数据

校验码的原理。 第三章是运算方法和运算器。尽管有些计算比较麻烦,但是我知道这些是学习这门课的基础。以及相关的指令系统和处理器等的工作原理。使我在概论和数据表示的基础上对计算机组成原理有了更深一步的了解。 第四章是指令系统。这章我们需要了解指令系统的基本概念、要求,并要理解指令的含义,要求我们掌握指令的编码格式、字长和扩展方法,还有几种常用的寻址方式和理解指令的一些基本的执行方式。 第五章是重要的中央处理器。本章我们需要了解CPU的各个组成部分及其功能,要理解指令周期的概念、时序的产生及其功能、CPU 的控制方式和微程序及其相关的概念,了解流水线CPU多核等一些典型的CPU技术。 第六章是存储器。这一章我们需要重点掌握存储器的分类、性能指标和层次结构,掌握随机存储器和只读存储器的工作特征。理解cache的基本原理和工作方式,了解虚拟存储器的工作原理。 第七章是系统总线。计算机总线的功能与组成,总线的概念、连接方式、总线的仲裁、总线的定时以及总线接口的概念和基本功能都需要有深入的了解。 第八章是输入/输出系统。输入/输出系统的功能与组成,教学机的总线与输入/输出系统实例。理解I/O设备的信息交换方式和掌握中断响应过程,还有就是了解DMA方式的基本概念的传送方式和了解

计算机组成原理试题2

一、填空题 1.按IEEE754规范,一个浮点数由、、三 个域组成,其中的值等于指数的加上一个固定。 2.在进行浮点加法运算时,需要完成为、、、、 和等步骤。 3.对阶时,使阶向阶看齐,使阶的尾数向移位, 每移一位,其阶码加一,直到两数的阶码相等为止。 4.提高加法器运算速度的关键是。先行进位的含义是。 5.现代计算机的运算器一般通过总线结构来组织。按其总线数不同,大体有、 和三种形式。 6.浮点运算器由和组成,它们都是运算器。只要求能 执行运算,而要求能进行运算。 7.两个BCD码相加,当结果大于9时,修正的方法是将结果,并产生进位输出。 8.设有七位二进制信息码0110101,则低位增设偶校验码后的代码为。 二、单项选择题 1.某数在计算机中用8421BCD码表示为0111 1000 1001,其真值是 A.789D B.789H C.1887D D.11110001001B 2.若某数x的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法 是码 A.原B.补C.反D.移 3.一个8位二进制整数,采用补码表示,且由3个“1”和5个“0”组成,则其最小 值是 A.-127 B.-32 C.-125 D.-3 4.下列数中最小的数为 A.101001B B.52Q C.29D D.233H 三、简答题 1.说明定点运算器的主要组成 2.说明双符号位法检测溢出的方法 四、计算与分析题 1.将十进制数(24/512)表示成浮点规格化数,要求阶码4位(含符号),移码表示; 尾数6位(含符号),用补码表示 2.写出十进制数-5的IEEE754编码 3.教材P69-5.1:已知x和y,用变形补码计算x+y,同时指出结果是否溢出 1)X=0.11011,y=0.00011 4.教材P70-7.1:试用原码阵列乘法器、补码阵列乘法器、直接补码并行乘法计算x ×y 1)X=0.11011,y=-0.11111 5.教材P70-8.1:用原码阵列除法器计算x÷y 1)X=0.11000,y=-0.11111 6.教材P70-9.1:设阶码3位,尾数6位,按浮点运算方法,完成以下取值的[x+y]、 [x-y]运算 1)X=2-011×0.100101,y=2-010×(-0.011110) 一、填空题 1.符号位S,阶码E,尾数M,阶码E,真值e,偏移值 2.零操作数检查,对阶,尾数求和,结果规格化,舍入处理,溢出处理 3.小,大,小,右,右 4.降低进位信号的传播时间,低有效位的进位信号可以直接向最高位传递 5.单总线结构,双总线结构,三总线结构

计算机组成原理 实验4

实验四模型机设计 1 实验目的 (1) 掌握一个简单CPU的组成原理。 (2) 在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。 (3) 为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念。 2 实验设备 PC机一台,TD-CMA实验系统一套。 3 实验原理 本实验要实现一个简单的CPU,并且在此CPU的基础上,继续构建一个简单的模型计算机。CPU由运算器(ALU)、微程序控制器(MC)、通用寄存器(R0),指令寄存器(IR)、程序计数器(PC)和地址寄存器(AR)组成,如图4-1所示。这个CPU在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU必须和主存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输出部件,以构成一个简单的模型计算机。 图4-1 基本CPU构成原理图 除了程序计数器(PC),其余部件在前面的实验中都已用到,在此不再讨论。系统的程序计数器(PC)和地址寄存器(AR)集成在一片CPLD芯片中。CLR连接至CON单元的总清端CLR,按下CLR按钮,将使PC清零,LDPC和T3相与后作为计数器的计数时钟,当LOAD为低时,计数时钟到来后将CPU内总线上的数据打入PC。

T3 CLR 图4-2 程序计数器(PC)原理图 本模型机和前面微程序控制器实验相比,新增加一条跳转指令JMP,共有五条指令:IN(输入)、ADD(二进制加法)、OUT(输出)、JMP(无条件转移),HLT(停机),其指令格式如下(高4位为操作码): 助记符机器指令码说明 IN0010 0000IN R0 ADD0000 0000R0 + R0 R0 OUT0011 0000R0 OUT JMP addr1110 0000 ********addr PC HLT0101 0000停机 其中JMP为双字节指令,其余均为单字节指令,********为addr对应的二进制地址码。微程序控制器实验的指令是通过手动给出的,现在要求CPU自动从存储器读取指令并执行。根据以上要求,设计数据通路图,如图4-3所示。 本实验在前一个实验的基础上增加了三个部件,一是PC(程序计数器),另一个是AR(地址寄存器),还有就是MEM(主存)。因而在微指令中应增加相应的控制位,其微指令格式如表4-1所示。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理第二版课后习题答案全唐朔飞

计算机组成原理第二版课后习题答案全唐朔飞第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要?解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。计算机硬件:指计算机中的电子线路和物理装置。计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么?解:冯?诺依曼计算机的特点是:P8 ? ? ? ? ? ? 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成;指令和数据以同同等地位存放于存储器内,并可以按地址访问;指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行;机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、

存储字、存储字长、存储容量、机器字长、指令字长。解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理蒋本珊第二版答案

计算机组成原理蒋本珊第二版答案【篇一:计算机组成原理(蒋本珊)第六章】 有哪几种控制方式?各有何特点? 解:控制器的控制方式可以分为3种:同步控制方式、异步控制方 式和联合控制方式。 同步控制方式的各项操作都由统一的时序信号控制,在每个机器周 期中产生统一数目的节拍电位和工作脉冲。这种控制方式设计简单,容易实现;但是对于许多简单指令来说会有较多的空闲时间,造成 较大数量的时间浪费,从而影响了指令的执行速度。异步控制方式 的各项操作不采用统一的时序信号控制,而根据指令或部件的具体 情况决定,需要多少时间,就占用多少时间。异步控制方式没有时 间上的浪费,因而提高了机器的效率,但是控制比较复杂。联合控 制方式是同步控制和异步控制相结合的方式。 2.什么是三级时序系统? 解:三级时序系统是指机器周期、节拍和工作脉冲。计算机中每个 指令周期划分为若干个机器周期,每个机器周期划分为若干个节拍,每个节拍中设置一个或几个工作脉冲。 3.控制器有哪些基本功能?它可分为哪几类?分类的依据是什么? 解:控制器的基本功能有: (1)从主存中取出一条指令,并指出下一条指令在主存中的位置。(2)对指令进行译码或测试,产生相应的操作控制信号,以便启 动规定的动作。 (3)指挥并控制cpu 、主存和输入输出设备之间的数据流动。控 制器可分为组合逻辑型、存储逻辑型、组合逻辑与存储逻辑结合型 3类,分类的依据在于控制器的核心———微操作信号发生器(控 制单元cu)的实现方法不同。 4.中央处理器有哪些功能?它由哪些基本部件所组成? 5.中央处理器中有哪几个主要寄存器?试说明它们的结构和功能。解:cpu 中的寄存器是用来暂时保存运算和控制过程中的中间结果、最终结果及控制、状态信息的,它可分为通用寄存器和专用寄存器 两大类。通用寄存器可用来存放原始数据和运算结果,有的还可以 作为变址寄存器、计数器、地址指针等。专用寄存器是专门用来完 成某一种特殊功能的寄存器,如程序计数器pc 、

计算机组成原理实验报告

实验一8位程序计数器PC[7:0]的设计 实验要求: 1.分别用图形方式和V erilog HDL语言设计8位程序计数器,计数器带有复位,计数,转移功能。 2.具体要求参见1_部件实验内容.doc说明文件。 实验实现: 1.用图形方式设计实现8位程序计数器,用到了两个74LS161四位十六进制计数器,主要步骤是两个四位十六进制计数器的串联,低四位计数器的进位端RCO连到高四位计数器的进位使能端ENT,然后连上reset、clk、ir[7:0]、t[1:0]、pc[7:0]、rco等输入输出信号,最后加上转移控制逻辑即可。注意两个十六进制计数器是同步的,具体参见PC_8bit.gdf文件。 2.编译通过,建立波形仿真文件,设置输入信号参数。注意在一张图中同时实现复位(reset低位有效)、计数、转移功能,最后加上一些文字注释即可,具体参见PC_8bit.scf文件。 3.用V erilog HDL语言设计实现8位程序计数器。在已经实现.gdf文件的基础上使用库函数形式是很容易编写出.v文件的,不过学生选择了行为描述方式实现,因为后者更具有通用性,依次实现8位程序计数器的复位、计数、转移功能即可,具体参见PC_8bit.v文件。 4.编译仿真类似上述步骤2。 实验小结: 1.这是计算机组成原理的第一个实验,比较简单,按照实验要求即可完成实验。通果这次实验,我对Max+Plus软件的使用方法和V erilog HDL语言编程复习了一遍,为后面的实验打好基础。 实验二CPU运行时序逻辑的设计 实验要求: 1.用V erilog HDL 语言设计三周期时序逻辑电路,要求带复位功能,t[2:0]在非法错误状态下能自动恢复。(比如说110恢复到001)。 2.具体要求参见1_部件实验内容.doc说明文件。 实验实现: 1.用V erilog HDL 语言设计实现带复位和纠错功能的三周期时序逻辑电路。输入clk外部时钟信号和reset复位信号(低位有效),输出ck内部时钟信号和三周期信号t[2:0]。利用两级3位移位式分频逻辑实现,具体参见cycle_3.v文件。 2.编译通过,建立波形仿真文件,设置clk外部时钟信号和reset复位信号,Simulate 即可输出实验要求中显示的波形。 实验小结: 1.刚做这个实验的时候不知道CPU运行时序逻辑设计的真实用途,在进一步学习了计算机组成原理的理论知识,做cpu4实验后才知道是用来由外部时钟信号clk产生内部时钟信号ck以及三周期信号t[2:0]的。刚完成本次实验的时候未添加三周期信号t[2:0]的自动功能,后来完成cpu4后补上了。 实验三静态存储器的设计与读写验证 实验要求: 1.设计一个SRAM存储器,地址和数据都是8位,存储容量是256个字节。 2.采用异步的时序逻辑设计方式,数据是双向的,输入输出不寄存,存储器的地址也不寄存。 3.具体要求参见1_部件实验内容.doc说明文件。 实验实现:

计算机组成原理2在线作业答案

《计算机组成原理》2在线作业答案 一、单选题(共 35 道试题,共 70 分。) 1. 计算机操作的最小单位时间是() A. 时钟周期 B. 指令周期 C. CPU周期 D. 中断周期 正确答案:A 满分:2 分 2. 计算机存储数据的基本单位为()。 A. 比特Bit B. 字节Byte C. 字组Word D. 以上都不对 正确答案:A 满分:2 分 3. 采用虚拟存贮器的主要目的是()。 A. 提高主存贮器的存取速度 B. 扩大主存贮器的存贮空间,并能进行自动管理和调度 C. 提高外存贮器的存取速度 D. 扩大外存贮器的存贮空间 正确答案:B 满分:2 分 4. 若9BH表示移码(含1位符号位),其对应的十进制数是()。 A. 27 B. -27 C. -101 D. 155 正确答案:A 满分:2 分 5. 直接寻址的无条件转移指令功能是将指令中的地址码送入()。

A. PC B. 地址寄存器 C. 累加器 D. 指令寄存器 正确答案:A 满分:2 分 6. 下列语句中是()正确的。 A. 1KB=10241024B B. 1KB=1024MB C. 1MB=10241024B D. 1MB=1024B 正确答案:C 满分:2 分 7. 程序员编程所用的地址叫做()。 A. 逻辑地址 B. 物理地址 C. 真实地址 D. 伪地址答案:A 正确答案:A 满分:2 分 8. I/O采用不统一编址时,进行输入输出操作的指令是()。 A. 控制指令 B. 访存指令 C. 输入输出指令 D. 伪指令 正确答案:C 满分:2 分 9. Cache的地址映像中,若主存中的任一块均可映射到Cache内的任一块的位置上,称作()。 A. 直接映像 B. 全相联映像 C. 组相联映像 D. 间接映像

相关主题
文本预览
相关文档 最新文档