当前位置:文档之家› (数电)数字温度计的设计

(数电)数字温度计的设计

(数电)数字温度计的设计
(数电)数字温度计的设计

新疆大学 课 程 设 计 报 告
所属院系: 所属院系: 电气工程学院 专 电气工程及其自动化 业: 电气工程及其自动化
课程名称: 课程名称: 数字电子技术课程设计 设计题目: 设计题目: 数字温度计的设计 班 级:
学生姓名: 学生姓名: 学生学号: 学生学号: 指导老师 : 完成日期: 完成日期: 2010.12.24 2010.12.24

课程设计题目:数字温度计的设计 要求完成的内容:
一、技术指标:
1. 基本范围测温 0~100℃; 2. 精度误差小于 1℃; 3. LED 数码直读显示温度大小。
二、设计内容及步骤
1. 提出两种方案,并对该两种方案进行评价以选出最优方案; 2. 完成各单元电路的设计和绘制出各单元电路图; 3. 设计出总体电路图; 4. 对各单元电路及总体电路进行仿真和调试; 5. 实训小结
三、实训工作量:
在一周内和同组同学设计出数字温度计的电路设计、仿真、调试。
指导教师评语:
评定成绩为:
指导教师签名:




数字温度计的设计
一、 总体方案的选择
1. 拟定系统方案框图
(1) 方案一:
本方案采用AD590单片集成两段式敢问电流源温度传感器对温度进行采集, 采集的电压经过放大电路将信号放大,然后经过3.5位A/D转换器转换成数字信 号,在进行模拟/数字信号转换的同时, 还可直接驱动LED显示器,将温度显示出 来。系统方框图如下:
温度采集 (AD590)
电压放大
A/D 转换
LED 显示器 图 1.1 系统方案框图
数码管驱动 (译码器)
(2) 方案二:
使用数字传感器采集温度信号,然后将被测温度变化的电压或电流采集 过来放大适当的倍数,进行 A/D 转换后,将转换后的数字进行编码,然后再 经过译码器通过七段数字显示器将被测温度显示出来。
数字式温 度传感器 信号处理 放大电路 A/D 转换 (ADC0809)
七 段 数字 显 示器
译码器 (74HC4511)
编码器
图 1.2 系统方案框图
2. 方案的分析和比较
方案一中的模数转换器 ICL7107 集 A/D 转换和译码器于一体, 可以直接驱动 数码管,不仅省去了译码器的接线,使电路精简了不少,而且成本也不是很高。 ICL7107 只需要很少的外部元件就可以精确测量 0 到 200mv 电压,AD590 可以将 温度线性转换成电压输出。而方案二经过 A/D 转换后,需要先经过编码器再经过 译码器才能将数字显示出来。

比较上述两个方案,方案一明显优越于方案二,它用 AD590 采集温度信号, 用 ICL7107 驱动数码管直接实现数字信号的显示,实现数字温度计的设计;省去 了另加编码器和译码器的设计,所以线路更简单、直观; 即采用方案一。
二、 单元电路的设计
通过 AD590 对温度进行采集,通过温度与电压近乎线性关系,以此来确定输 出电压和相应的电流,不同的温度对应不同的电压值,故我们可以通过电压电流 值经过放大进入到 A/D 转换器和译码器,再由数码管表示出来。
2.1 传感电路
AD590 是半导体结效应式温度传感器, 结正向压降的温度系数为-2mV/℃ , PN 利用硅热敏晶体管 PN 结的温度敏感特性测量温度的变化测量温度,其测量温度 范围为-50~150。AD590 输出电流值(μA 级)等于绝对温度(开尔文)的度数。使 用时一般需要将电流值转换为电压值, 如图 2.1.1 图中,Ucc 为激励电压, 取值 为 4~40 V;输出电流 I0 以绝对温度零度-273℃为基准, 温度每升高 1℃ ,电流 值增加 1μA。
图2.1.1 AD590基本原理图 温度t对应输出电流Io 为: Io(t)=273μA + t×1μA/℃ (式 1) 式中: 273μA为摄氏零度时输出的电流值;t为测得的摄氏温度。 在室温25℃ 时,输出电流: Io(25)=(273+25)=298 μA AD590构成的电压输出电路如图所示:

图2.1.2 AD590构成的电压输出电路图 电路具有偏置和增益调节装置功能, 为了改善输出电压的性能, 电路中采用 了电压跟随器。由于AD590输出的是绝对温度, 而实际显示的是摄氏温度, 设计 差动放大电路(U1,U2为输入),调整电位器使U1=2.73 V,则图3输出电压值Uo与温 度传感器测得的摄氏温度呈线性关系, 计算公式为:
Uo = 100k? t (U 2 ? U1 ) = V 10k? 10
(式 2)
对于25℃的室温,Uo=2.5V。
2.2 温度信号处理放大电路
OP-07 芯片是一种低噪声,非暂波稳零的单运算放大器集成电路。由于 OP-07 具有非常低的输入失调电压(对于 OP-07A 最大为 25μV),所以 OP-07 在很多应用场合不需要额外的调零措施。OP-07 同时具有输入偏置电流(OP-07A 为±2nA)和开环增益高(对于 OP-07A 为 300V/mV)的特点,这种低失调、高开 环增益的特性使得 OP-07 更用于高增益的测量设备和放大传感器的微弱信号。 OP-07 具有以下特点: (1)超低偏移: 150μV 最大 。 (2)低输入偏置电流: 1.8nA 。 (3)低失调电压漂移: 0.5μV/℃ 。 (4)超稳定,时间: 2μV/month 最大 它的引脚图如图 2.2.1 所示:
1 2 3 4 8 7 6 5
图 2.2.1 OP-07 引脚图

OP-07 芯片引脚功能说明:1 和 8 为偏置平衡(调零端),2 为反向输入端,3 为正向输入端,4 接地,5 空脚 6 为输出,7 接电源+。 OP-07 高精度运算放大器具有极低的输入失调电压,极低的失调电压温漂, 非常低的输入噪声电压幅度及长期稳定等特点。 由 AD590 和 OP-07 组成的信号采集电路如图 2.2.2 所示:
图 2.2.2 信号采集电路
由输出短路法及输入求和方式可判断该电路是电压并联负反馈放大电路。 因 此可知 If=-Vi/Rf,反馈系数 F=If/Vo,所以 F=-1/R3 A=Vo/Ii,放大倍数 AF=A/(1+AF) 。
2.3
A/D 转换电路
ICL7107 是一种高性能、低功耗的三位半 A\D 转换器,同时包含有七段译
码器、显示驱动器、参考源和时钟系统。ICL7107 可直接驱动共阳极 LED 数码 管。ICL7107 将高精度、通用性和真正的低成本很好的结合在一起,它有低于 10uV 的自动校零功能,零漂小于 1uV/℃,低于 10pA 的输入电流,极性转换误 差小于一个字。真正的差动输入和差动参考源在各种系统中都很有用。在用于测 量负载单元、压力规管和其它桥式传感器时会有更突出的特点。

ICL7107 的特点: 1. 保持零电平输入时,各量程的读值均为零; 2. 1pA 典型输入电流; 3. 很低的噪声(小于 15 μ Vp-p) ; 4. 片上自带时钟; 5. 低功耗; 6. 不需外接有源电路。 7. 真正的差动输入和差动参考电源,直接 LCD 显示驱动。 ICL7107 的 A/D 转换及数字显示图的部分电路原理图如下:
图 2.3.0
ICL7107 数字部分框图
ICL7107 转化器原理图如图 2.3.1 所示。其中计数器对反向积分过程的时钟

脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动 相应笔画的方波。
图 2.3.1
ICL7107 转化器原理图
控制器的作用有三个: 第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或 断开,A/D 转换器能循环进行。 第二,识别输入电压极性,控制 LED 数码管的负号显示 第三,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部 熄灭。 钓锁存器用来存放 A/D 转换的结果,锁存器的输出经译码器后驱动 LED 。 、信号积分(INT)和反向积分(DE)三个 它的每个测量周期自动调零(AZ) 阶段。 双积分型 A/D 转换器的电压波形图如图 2.3.2 所示

图 2.3.2 双积分型 A/D 转换器的电压波形图
ICL7107AD 转换器的管脚排列及其各管脚功能如图 2.3.3 所示:
图 2.3.3 ICL7107 管脚排列
ICL7107 引脚功能: V+和 V-分别为电源的正极和负极(或地)

au-gu,aT-gT,aH-gH:分别为个位、十位、百位笔画的驱动信号,依次 接个位、十位、百位 LED 显示器的相应笔画电极。 Bck:千位笔画驱动信号。接千位 LEO 显示器的相应的笔画电极。 PM:液晶显示器背面公共电极的驱动端,简称背电极。 Oscl-OSc3 : 时钟振荡器的引出端, 外接阻容或石英晶体组成的振荡器。 第 38 脚至第 40 脚电容量的选择是根据下列公式来决定: Fosl = 0.45/RC COM :模拟信号公共端,简称“模拟地”,使 用时一般与输入信号的负端 以及基准电压的负极相连。 TEST : 测试端, 该端经过 500 欧姆电阻接至逻辑电路的公共地, 故也称 “逻 辑地”或“数字地”。 VREF+ VREF- :基准电压正负端。 CREF:外接基准电容端。 INT:27 是一个积分电容器,必须选择温度系数小不致使积分器的输入电压 产生漂移现象的元件 IN+和 IN- :模拟量输入端,分别接输入信号的正端和负端。 AZ: 积分器和比较器的反向输入端, 接自动调零电容 CAz 。 如果应用在 200mV 满刻度的场合是使用 0.47μF,而 2V 满刻度是 0.047μF。 BUF:缓冲放大器输出端,接积分电阻 Rint。其输出级的无功电流( idling current )是 100μA,而缓冲器与积分器能够供给 20μA 的驱动电流,从此脚接一 个 Rint 至积分电容器, 其值在满刻度 200mV 时选用 47K, 2V 满刻度则使用 470K。 而 ICL7107 的工作原理: 双积分型 A/D 转换器 ICL7107 是一种间接 A/D 转换器。 它通过对输入模拟电 压和参考电压分别进行两次积分, 将输入电压平均值变换成与之成正比的时间间 隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。838 电子 它的原理性框图如图 2 所示,它包括积分器、比较器、计数器,控制逻辑和时钟 信号源。积分器是 A/D 转换器的心脏,在一个测量周期内,积分器先后对输入信 号电压和基准电压进行两次积分。比较器将积分器的输出信号与零电平进行比 较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期 Tc 作为测 量时间间隔的标准时间。它是由内部的两个反向器以及外部的 RC 组成的。其振 荡周期 Tc=2RCIn1.5=2.2RC 。
2.4
数码管显示
数码管可以分为共阳极与共阴极两种, 共阴极是把所有 LED 的阳极连接到共
同接点 com,而每一 LED 的阴极分别为 a,b,c,d,e,f,g 及 sp(小数点) ,它的内部结 构图如图 2.7 所示。

a b c d e f g SP
G
图 2.4.1 共阳极数码管内部结构
在本次设计当中,由于 ICL7107 的特点,它只能驱动共阳极数码管,故我 们要选用共阳极七段数码管。在连接数码管时,我们要注意数码管各个管脚所对 应的字母,不能接错或接漏,而且在管脚之前要接上电阻,以免烧坏芯片和数码 管。
三、 总电路图
将传感电路、信号采集处理放大电路、A/D 转换电路、七段数码显示电路这 四个单元电路级联起来可以得到如下总电路图:
图 3.1 总体电路图

四、 仿真与调试
4.1 调试与测量数据
按照以上各个部分的原理图,对电路进行级联,并焊接电路板。接好电源, 进行调试。 由于所用的集成片(例如A/D转换器ICL7107)在EDA仿真软件上很多都没有, 进行模拟仿真很困难,所以为了通过连接实际元件进行仿真和调试: (1)按照电路图对相关元件进行连接,其中注意芯片各管脚的作用以及该 如何进行接线。 (2)当上步骤完成后,接通电源,观察数码管和二极管是否亮,若不亮时, 要对电路电源进行检测,看是否线路接触不良或者电路短路。 (3) (2)完成之后,观察数码管是否显示数值,然后改变 LM35 的温度值, 观察数码管是否随着温度变化而变化。 (4)若数码管数值与温度值相差太大,则要检查信号采集电路中各元件值 是否对。 为了验证设计电路的正确性以及它的实验数据,我们对实物进行验证。用水 银温度计和本次设计的电路对相同温度下物体进行相应的测量并绘成表格进行 比较。如表 4.1。
表 4.1 水银温度计与设计电路数据的比较 测 测量 环境 量 工 具
室温 冷水袋 温水袋
水银温度计 21.0℃ 15.1℃ 52.0℃
数字温度计 21.1℃ 15.2℃ 52.1℃
经对照,由上表的数据可以得出,本系统的误差<1℃,分辨率为 0.1℃。
4.2 元器件清单
表 4.2 元器件明细表
元件名称
元件型号及参数 10K 100K 24K 1M 470K
个数 3 1 1 1 1
电阻

可调电阻
电容
芯片 数码管
1K 100K 20K 0.1 uF 0.01 uF 0.047 uF 0.22 uF 100 pF AD590 OP-07 ICL7107 共阳极数码管
1 1 1 1 1 1 1 1 1 1 1 3
五、 小结
采用 AD590、A/D 转换器和数码管。通过温度传感器 AD590 采集到温度信 号,经过放大电路送 A/D 转换器,然后直接驱动数码管显示温度。在这次设计 当中,初步了解了 A/D 转换器的工作原理以及数码管的连接方法。 在这个设计中,信号采集电路和 A/D 转换电路比较重要,要对电路中各个元 件数值进行精确的计算,防止电路输出变化太大,对测量不利。 课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题, 锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.通过 这次电子技术课程设计,让我了解了设计电路的程序.通过本次实验设计电路原 理图 ,对软件 multisim 10 有了初步的了解,能独立完成电路图的绘制,在设 计电路图过程中充分了解各芯片和元器件的功能作用。 通过这次电子技术课程设 计,使我对模拟电子技术和数字电子技术在实践中的应用有了更深刻的理解。通 过该课程设计,把死板的课本知识变得生动有趣,激发了学习的积极性。 通过这次学习,让我对各种电路都有了大概的了解,所以说,坐而言不如立 而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。
六、参考文献
[1]清华大学电子学教研组,余孟尝主编,数字电子技术基础,第三版,高等教 育出版社,2006 [2]人民邮电出版社,杨帮文编,《实用电子小制作精选》

[3]新大课程小组编,电子技术基础实验指导书,新疆大学电气学院编印,2006.8 [4]康光华主编,电子技术基础(数字部分) ,第五版,高等教育出版社,2010 [5]毕满清主编.电子技术实验与课程设计.第 3 版.北京:机械工业出版社,2005

数字温度计设计

数字温度计 摘要:温度计在实际生产和人们的生活中都有广泛应用。该设计是数字温度计,首先是对总体方案的选择和设计;然后通过控制LM35进行温度采集;将温度的变化转为电压的变化,其次设计电压电路,将变化的电压量通过放大系统转化为所需要的电压;再通过TC7107将模拟的电压转化为数字量后直接驱动数码管LED对实时温度进行动态显示。最后在Proteus仿真软件中构建了数字温度计仿真电路图,仿真结果表明:在温度变化时,可以通过电压的变化形式传递,最终通过3位十进制数显示出来。 关键词:温度计;电路设计;仿真

目录 1 设计任务与要求 (1) 2 方案设计与论证 (1) 3 单元电路的设计及仿真 (2) 3.1传感器 (2) 3.2放大系统 (2) 3.3 A/D转换器及数字显示 (4) 4 总电路设计及其仿真调试过程 (6) 4.1总电路设计 (6) 4.2仿真结果及其分析 (7) 5 结论与心得 (9) 6 参考文献 (11)

1 设计任务与要求 温度计是工农业生产及科学研究中最常用的测量仪表。本课题要求用中小规模集成芯片设计并制作一数字式温度计,即用数字显示被测温度。具体要求如下:(1)测量范围0~100度。 (2)测量精度0.1度。 (3)3位LED数码管显示。 掌握线性系统的根轨迹、时域和频域分析与计算方法; (2)掌握线性系统的超前、滞后、滞后-超前、一二阶最佳参数、PID等校正方法;(3)掌握MATLAB线性系统性能分析、校正设计与检验的基本方法。 2 方案设计与论证 数字温度计的原理是:通过控制传感器进行温度采集,将温度的变化转化为电压的变化;然后设计电压电路,将变化的电压通过放大系统转化为需要的电压;再通过A/D转换器将模拟的电压转换为数字量后驱动数码管对实时温度进行动态显示。 原理框图如图2-1所示: 传感器放大系统A/D转换显示 图2-1 数字温度计原理框图 由设计任务与要求可知道,本设计实验主要分为四个部分,即传感器、放大系统、模数转换器以及显示部分。经过分析,传感器可以选择对温度比较敏感的器件,做好是在某参数与温度成线性关系,比如用温敏晶体管构成的集成温度传感器或热敏电阻等;放大系统可以由集成运放组成或反相比例运算放大器;A/D转换器需要选择有LED 驱动显示功能的,而可供选择的参考元件有ICL7107,ICL7106,MC14433等;显示部分用3位LED数码管显示。 方案一:用一个热敏电阻,通过热敏电阻把温度转化为电压,再得到每一度热敏电

数字温度计的设计

数字温度计的设计 【摘要】 本文将介绍一种基于单片机控制的数字温度计,就是用单片机实现温度测量,传统的温度检测大多以热敏电阻为温度传感器,但热敏电阻的可靠性差,测量温度准确率低,而且必须经过专门的接口电路转换成数字信号才能由单片机进行处理。本次采用DS18B20数字温度传感器来实现基于AT89S52单片机的数字温度计的设计用LCD数码管以串口传送数据,实现温度显示,能准确达到以上要求,可以用于温度等非电信号的测量,主要用于对测温比较准确的场所,或科研实验室使用,能独立工作的单片机温度检测、温度控制系统已经广泛应用很多领域。 【关键词】关键词1温度计;关键词2单片机;关键词3数字控制;关键词4DS1620 目录 第一章绪论 (2) 1.1 前言 (3) 1.2 数字温度计设计方案 (3) 1.3 总体设计框图 (3) 第二章硬件电路设计............................ 错误!未定义书签。 2.1 主要芯片介绍 (5) 2.1.1 AT89C51的介绍 (5) 2.1.2 AT89C51各引脚功能介绍 (5) 2.2 温度传感器 (7) 2.2.1 DS1620介绍 (7) 第三章软件设计................................ 错误!未定义书签。

3.1 主程序流程图 (11) 3.4 计算温度子程序流程图 (13) 3.5 显示数据刷新子程序流程图 (13) 第四章 Proteus仿真调试......................... 错误!未定义书签。 4.1 Proteus软件介绍 (15) 4.2 Proteus界面介绍 (16) 4.2.1 原理图编辑窗口 (18) 4.2.2 预览窗口 (23) 4.2.3 模型选择工具栏 (31) 4.2.4 元件列表 (35) 4.2.5 方向工具栏 (37) 4.2.6 仿真工具栏 (38) 4.3 本次设计仿真过程 (39) 4.3.1 创建原理图 (40) 设计总结 (50) 结论 (57) 参考文献 (59) 致谢 (62) 附录 (72)

电子技术基础数字温度计课程设计

课程设计(论文) 题目名称数字温度计 课程名称电子技术课程设计 学生姓名屈鹏 学号1141201112 系、专业电气工程系电气工程及其自动化 指导教师李海娜 2013年12月17日

邵阳学院课程设计(论文)任务书 年级专业11级电气工程及其自动化学生姓名屈鹏学号1141201112 题目名称数字温度计设计设计时间2013.12.9—2013.12.20 课程名称电子技术课程设计课程编号121202306 设计地点电工电子实验室408、409 一、课程设计(论文)目的 电子技术课程设计是电气工程及自动化专业的一个重要的实践性教学环节,是对已学模拟电子技术、数字电子技术知识的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成,着重培养学生工程实践的动手能力、创新能力和进行综合设计的能力,并要求能设计出完整的电路或产品,从而为以后从事电子电路设计、研制电子产品奠定坚实的基础。 二、已知技术参数和条件 用中小规模集成芯片设计并制作一数字式温度计,具体要求如下: 1、温度范围0-100度。 2、测量精度0.2度。 3、三位LED数码管显示温度。 三、任务和要求 1.按学校规定的格式编写设计论文。 2.论文主要内容有:①课题名称。②设计任务和要求。③方案选择与论证。④方案的原理框图,系统电路图,以及运行说明;单元电路设计与计算说明;元器件选择和电路参数计算的说明等。 ⑤必须用proteus或其它仿真软件对设计电路仿真调试。对调试中出现的问题进行分析,并说明解决的措施;测试、记录、整理与结果分析。⑥收获体会、存在问题和进一步的改进意见等。 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

数字温度计课程设计报告

课程设计报告书 课程名称:电工电子课程设计 题目:数字温度计 学院:信息工程学院 系:电气工程及其自动化 专业班级:电力系统及其自动化113 学号:6100311096 学生姓名:李超红 起讫日期:6月19日——7月2日 指导教师:郑朝丹职称:讲师 学院审核(签名): 审核日期:

内容摘要: 目前,单片机已经在测控领域中获得了广泛的应用,它除了可以测量电信以外,还可以用于温度、湿度等非电信号的测量,能独立工作的单片机温度检测、温度控制系统已经广泛应用很多领域。 单片机是一种特殊的计算机,它是在一块半导体的芯片上集成了CPU,存储器,RAM,ROM,及输入与输出接口电路,这种芯片称为:单片机。由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便的优点,使它迅速的得到了推广应用,目前已成为测量控制系统中的优选机种和新电子产品中的关键部件。单片机已不仅仅局限于小系统的概念,现已广泛应用于家用电器,机电产品,办公自动化用品,机器人,儿童玩具,航天器等领域。 本次课程设计,就是用单片机实现温度控制,传统的温度检测大多以热敏电阻为温度传感器,但热敏电阻的可靠性差,测量温度准确率低,而且必须经过专门的接口电路转换成数字信号才能由单片机进行处理。本次采用DS18B20数字温度传感器来实现基于51单片机的数字温度计的设计。 本文介绍了一个基于STC89C52单片机和数字温度传感器DS18B20的测温 系统,并用LED数码管显示温度值,易于读数。系统电路简单、操作简便,能 任意设定报警温度并可查询最近的10个温度值,系统具有可靠性高、成本低、功耗小等优点。 关键词:单片机数字温度传感器数字温度计

数字温度计的设计与仿真

单片机原理与应用设计课程综述 设计项目数字温度计 任课教师 班级 姓名 学号 日期

基于AT89C51的数字温度计设计与仿真摘要:随着科学技术的不断发展,温度的检测、控制应用于许多行业,数字温度计就是其中一例,它的反应速度快、操作简单,对环境要求不高,因此得到广泛的应用。 传统的温度测量大多使用热敏电阻,但热敏电阻的可靠性差,测量温度准确率低,而且必须经过专门的接口电路将模拟信号转换成数字信号才能由单片机进行处理。本课题采用单片机作为主控芯片,利用DS18B20来实现测温,用LCD液晶显示器来实现温度显示。 温度测量范围为0~119℃,精确度0.1℃。可以手动设置温度上下限报警值,当温度超出所设报警值时将发出报警鸣叫声,并显示温度值,该温度计适用于人们的日常生活和工、农业生产领域。 关键词:数字温度计;DS18B20;AT89C51; LCD1602 一、绪论 1.1 前言 随着人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中数字温度计就是一个典型的例子,但人们对它的要求也越来越高,要为现代人工作、科研、生活、提供更好的更方便的设施就需要从单片机技术入手,一切向着数字化控制,智能化控制方向发展。单片机技术已经普及到我们生活,工作,科研,各个领域,已经成为一种比较成熟的技术,单片机已经在测控领域中获得了广泛的应用。 1.2 课题的目的及意义 数字温度计与传统温度计相比,具有结构简单、可靠性高、成本低、测量范围广、体积小、功耗低、显示直观等特点。该设计使用AT89C51,DS18B20以及通用液晶显示屏1602LCD等。通过本次设计能够更加了解数字温度计工作原理和熟悉单片机的发展与应用,巩固所学的知识,为以后工作与学习打下坚实的基础。 数字温度计主要运用在工业生产和实验研究中,如电力、化工、机械制造、粮食存储等领域。温度是表征其对象和过程状态的重要参数之一。比如:发电厂锅炉

数字温度计设计总结报告

数字温度计(A2题)设计与总结报告专科组:春梁福鑫钟才莉 摘要:随着时代的进步和发展,单片机技术已经普及到我们生活,工作,科研等各个领域,已经成为一种比较成熟的技术, 本设计在参阅了大量前人设计的数字温度计的基础上,利用单片机技术结合DS18B20温度传感器和DS1302时钟芯片构建了一个数字温度计。本温度计属于多功能温度计,当测量温度超过设定的温度上、下限,启动蜂鸣器和指示灯报警,可以显示当前测量日期、时间、温度,可调整显示日期、时间和星期。 关键词:单片机;数字控制;数字温度计;DS18B20;DS1302;报警 前言 本设计所介绍的数字温度计与传统的温度计相比,具有读数方便,测温围广,测温准确,其输出温度采用数字显示,主要用于对测温比较准确的场所,或科研实验室使用,该设计控制器使用单片机AT89S52,测温传感器使用DS18B20,以及使用时钟芯片DS1302测实时时钟,用一块低功耗的RT1602C液晶显示器以串口传送数据,实现温度和时间显示,能准确达到以上要求。 本设计主要分为两部分:硬件电路及软件程序。而硬件电路又大体可分为单片机小系统电路、测温电路、实时时钟电路、声光报警电路、语音报读电路、LED显示电路及电源电路,各部分电路的设计及原理将会在硬件电路设计部分详细介绍;程序的设计使用C语言编程,利用Keil 软件对其编译和仿真,详细的设计算法将会在程序设计部分详细介绍。 一、方案论证比较与选择 方案一: 由于本设计是测温电路,可以使用热敏电阻之类的器件利用其感温效应,在将随被测温度变化的电压或电流采集过来,进行A/D转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来,这种设计需要用到A/D转换电路,感温电路比较麻烦,制作成本高。 方案二: 方案二原理框架图 此设计方案是由数字式温度传感器、单稳态定时电路、计数电路、译码与LED数码管显示电路等组成的。但其测温围较小,电路设计也比较繁琐。 方案三: 进而考虑到用温度传感器,在单片机电路设计中,大多都是使用传感器,所以这是非常容易想到的,因此我们改用一种智能传感器DS18B20作为检测元件,测温围-55℃~+125℃,分辨率最大可达0.0625℃。此传感器,可以直接读取被测温度值,而且采用3线制与单片机相连,减少了外部硬件电路,具有低成本和易使用的特点。 从以上三种方案,很容易看出,采用方案三,电路比较简单,软件设计也比较简单,故采用了方案三。 二、系统框图 温度计电路设计总体设计方框图如图1所示,控制器采用单片机AT89S52,温度传感器采用DS18B20,

数字式温度计的设计课程设计

课程设计说明书 课程设计名称:单片机课程设计 课程设计题目:数字式温度计的设计学院名称:电气信息学院 专业班级:15电力(3)班 学生学号:1504200623 学生姓名:曾高 学生成绩: 指导教师:易先军 课程设计时间:2017.10.30 至2017.11.5

格式说明(打印版格式,手写版不做要求) (1)任务书三项的内容用小四号宋体,1.5倍行距。 (2)目录(黑体,四号,居中,中间空四格),内容自动生成,宋体小四号。 (3)章的标题用四号黑体加粗(居中排)。 (4)章以下的标题用小四号宋体加粗(顶格排)。 (5)正文用小四号宋体,1.5倍行距;段落两端对齐,每个段落首行缩进两个字。 (6)图和表中文字用五号宋体,图名和表名分别置于图的下方和表的上方,用五号宋体(居中排)。(7)页眉中的文字采用五号宋体,居中排。页眉统一为:武汉工程大学本科课程设计。 (8)页码:封面、扉页不占页码;目录采用希腊字母Ⅰ、Ⅱ、Ⅲ…排列,正文采用阿拉伯数字1、2、3…排列;页码位于页脚,居中位置。 (9)标题编号应统一,如:第一章,1,1.1,……;论文中的表、图和公式按章编号,如:表1.1、表1.2……;图1.2、图1.2……;公式(1.1)、公式(1.2)。

课程设计任务书 一、课程设计的任务和基本要求 (一)设计任务(从“单片机课程设计题目”汇总文档中任选1题,根 据所选课题的具体设计要求来填写此栏) 1. 用DS18B20设计一款能够显示当前温度值的温度计; 2. 通过切换按钮可以切换华氏度和摄氏度显示; 3. 测量精度误差在正负0.5摄氏度以内。 (二)基本要求 1.有硬件结构图、电路图及文字说明; 2.有程序设计的分析、思路说明; 3.有程序流程框图、程序代码及注释说明; 4.完成系统调试(硬件系统可以借助实验装置实现,也可在Proteus 软件中仿真模拟); 5.有程序运行结果的截屏图片。

简易数字式温度计设计

摘要 随着人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中数字温度计就是一个典型的例子,但人们对它的要求越来越高,要为现代人工作、科研、生活、提供更好的更方便的设施就需要从数单片机技术入手,一切向着数字化控制,智能化控制方向发展。 本设计所介绍的数字温度计与传统的温度计相比,具有读数方便,测温围广,测温准确,其输出温度采用数字显示,主要用于对测温比较准确的场所,或科研实验室使用,该高精度数字式温度计采用了由DALLAS公司生产的单线数字温度传感器DS18B20,它具有独特的单线总线接口方式。本毕业论文详细的介绍了单线数字温度传感器DS18B20的测量原理、特性以及在温度测量中的硬件和软件设计,该温度计具有接口简单、精度高、抗干扰能力强、工作稳定可靠等特点。 关键词:DS18B20 温度传感器STC89C51

目录 第一章绪论3 1.1 课题背景及研究意义3 1.2 国外的现状3 1.3 设计的目的4 1.4 设计实现的目标4 1.5 数字温度计简介5

第一章绪论 1.1 课题背景及研究意义 随着新技术的不断开发与应用,近年来单片机发展十分迅速,一个以微机应用为主的新技术革命浪潮正在蓬勃兴起,单片机的应用已经渗透到电力、冶金、化工、建材、机械、食品、石油等各个行业。传统的温度采集方法不仅费时费力,而且精度差,单片机的出现使得温度的采集和数据处理问题能够得到很好的解决。温度是工业对象中的一个重要的被控参数。然而所采用的测温元件和测量方法也不相同;产品的工艺不同,控制温度的精度也不相同。本系统所使用的加热器件是电炉丝,功率为三千瓦,要求温度在400~1000℃。静态控制精度为2.43℃。 本设计使用单片机作为核心进行控制。单片机具有集成度高,通用性好,功能强,特别是体积小,重量轻,耗能低,可靠性高,抗干扰能力强和使用方便等独特优点,在数字、智能化方面有广泛的用途。 1.2 国外的现状 温度控制系统在国各行各业的应用虽然已经十分广泛,但从国生产的温度控制器来讲,总体发展水平仍然不高,同日本、美国、德国等先进国家相比,仍然有着较大的差距。成熟的温控产品主要以“点位”控制及常规的PID控制器为主,它们只能适应一般温度系统控制,而用于较高控制场合的智能化、自适应控制仪表,国技术还不十分成熟,形成商品化并广泛应用的控制仪表较少。随着我国经济的发展及加入WTO,我国政府及企业对此都非常重视,对相关企业资源进行了重组,相继建立了一些国家、企业的研发中心,开展创新性研究,使我国仪表工

DS18B20数字温度计的设计

单片机原理及应用 课程设计报告书 题目:DS18B20数字温度计的设计 姓名学号:张琪05200102 吕群武05200166 蔡凌志05200178 专业班级:电信1班 指导老师:余琼蓉 设计时间:2010年12月

成绩评定

一、课题介绍 本设计是一款简单实用的小型数字温度计,所采用的主要元件有传感器18B20,单片机AT89S52,,四位共阴极数码管一个,电容电阻若干。18B20支持“一线总线”接口,测量温度范围-55°C~+125°C 。在-10~+85°C 范围内,精度为±0.5°C 。18B20的精度较差为± 2°C 。现场温度直接以“一线总线”的数字方式传输,大大提高了系统的抗干扰性。适合于恶劣环境的现场温度测量,如:环境控制、设备或过程控制、测温类消费电子产品等。 本次数字温度计的设计共分为五部分,主控制器,LED 显示部分,传感器部分,复位部分,时钟电路。主控制器即单片机部分,用于存储程序和控制电路;LED 显示部分是指四位共阳极数码管,用来显示温度;传感器部分,即温度传感器,用来采集温度,进行温度转换;复位部分,即复位电路。测量的总过程是,传感器采集到外部环境的温度,并进行转换后传到单片机,经过单片机处理判断后将温度传递到数码管显示。本设计能完成的温度测量范围是-55°C~+128°C ,由于能力有限,不能实现报警功能。 二、方案论证 方案一: 由于本设计是测温电路,可以使用热敏电阻之类的器件利用其感温效应,在将随被测温度变化的电压或电流采集过来,进行A/D 转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来,这种设计需要用到A/D 转换电路,感温电路比较麻烦。 方案设计框图如下: 方案二:考虑到用温度传感器,在单片机电路设计中,大多都是使用传感器,所以这是非常容易想到的,所以可以采用一只温度传感器DS18B20,此传感器,可以很容易直接读取被测温度值,进行转换,就可以满足设计要求。 从以上两种方案,很容易看出,采用方案二,电路比较简单,软件设计也比较简单,故采用了方案二。

数字温度计设计报告

重庆文理学院 单片机课程综合设计 设计题目:数字温度计 学号:201308329053 姓名:杨洋 班级:2013级电气S2班 提交日期:2016.01.14 电子电气工程学院

目录 一.引言 二.设计务任和要求 三. 系统总体方案及硬件设计 四. 系统软件算法分析 五. 电路仿真 六.电路板制作过程 七. 电路调试过程 八. 总结与体会 九. 参考文献 十. 源程序

一引言 随着电子技术的不断发展,我们能应用到的电子产品也越来越多。而生活中我们用的很多电子产品都越来越轻巧,价格也越来越便宜.利用电子芯片实现的东西也越来越来越多,比如数字温度计。当然,非电子产品的常用温度计也很便宜。此次课设论文所介绍的是自己动手制作的一个高精度数字温度计。本次课设不但丰富了课余生活,还从实践中学到并了很多新知识,并从中巩固了以前的知识。 用Protel 99软件来设计制作电路板——PCB(Printed circuit Bound)。在PCB上,布置一系列的芯片、电阻、电容等元件,通过PCB上的导线相连,构成电路,一起实现一定的功能。电路通过连接器或者插槽进行输入/输出,有时还有显示部分(如发光二极管LED、.数码显示器等)。可以说,PCB是一块连接板,它的主要目的是为元件提供连接,为整个电路提供输入输出端口和显示,电气连接通性是PCB最重要的特性之一。PCB在各种电子设备中有如下功能:(1)提供集成电路等各种电子元件固定、装配的机械支撑。(2)实现集成电路等各种电子元件之间的布线和电气连接或电绝缘,提供所要的电气特性。(3)为电动装配提供阻焊徒刑,为元器件插装、检查、维修提供识别符和图形。 做本课题的所用到的知识是我们学过的模拟电子电路以及数字逻辑电路等,当然还用到了刚刚学过不久的单片机知识。本次课设是把理论和实践结合起来,这不但可以锻炼自己的动手能力,而且还可以加深对数字逻辑电路和模拟电子电路的学习和理解。同时也激起了我学好单片机的斗志。为了全面清晰的表达,本论文用图文并茂的方式,尽可能详细的地介绍此次设计的全过程。 二设计务任和要求 2.1、基本范围-20℃——100℃ 2.2、精度误差小于0.5℃ 2.3、LED 数码直读显示 2.4、可以任意设定温度的上下限报警功能 三系统总体方案及硬件设计 3.1数字温度计设计方案论证 3.1.1方案一 由于本设计是测温电路,可以使用热敏电阻之类的器件利用其感温效应,在将随被测温度变化的电压或电流采集过来,进行A/D 转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来,这种设计需要用到A/D 转换电路,其中还涉及到电阻与温度的对应值的计算,感温电路比较麻烦。而且在对采集的信号进行放大时容易受温度的影响从而出现较大的偏差。 3.1.2 方案二

基于单片机的数字温度计设计报告

课程设计报告 引言 随着电子技术的不断发展,我们能应用到的电子产品也越来越多。而生活中我们用的很多电子产品都越来越轻巧,价格也越来越便宜.利用电子芯片实现的东西也越来越来越多,比如数字温度计。当然,非电子产品的常用温度计也很便宜。此次课设论文所介绍的是自己动手制作的一个高精度数字温度计。本次课设不但丰富了课余生活,还从实践中学到并了很多新知识,并从中巩固了以前的知识。 用Protel 99软件来设计制作电路板——PCB(Printed circuit Bound)。在PCB上,布置一系列的芯片、电阻、电容等元件,通过PCB上的导线相连,构成电路,一起实现一定的功能。电路通过连接器或者插槽进行输入/输出,有时还有显示部分(如发光二极管LED、.数码显示器等)。可以说,PCB是一块连接板,它的主要目的是为元件提供连接,为整个电路提供输入输出端口和显示,电气连接通性是PCB最重要的特性之一。PCB在各种电子设备中有如下功能:(1)提供集成电路等各种电子元件固定、装配的机械支撑。(2)实现集成电路等各种电子元件之间的布线和电气连接或电绝缘,提供所要的电气特性。(3)为电动装配提供阻焊徒刑,为元器件插装、检查、维修提供识别符和图形。 做本课题的所用到的知识是我们学过的模拟电子电路以及数字逻辑电路等,当然还用到了刚刚学过不久的单片机知识。本次课设是把理论和实践结合起来,这不但可以锻炼自己的动手能力,而且还可以加深对数字逻辑电路和模拟电子电路的学习和理解。同时也激起了我学好单片机的斗志。为了全面清晰的表达,本论文用图文并茂的方式,尽可能详细的地介绍此次设计的全过程。

1.设计务任和要求 1.1、基本范围-20℃——100℃ 1.2、精度误差小于0.5℃ 1.3、LED 数码直读显示 1.4、可以任意设定温度的上下限报警功能 2. 系统总体方案及硬件设计 2.1数字温度计设计方案论证 2.1.1方案一 由于本设计是测温电路,可以使用热敏电阻之类的器件利用其感温效应,在将随被测温度变化的电压或电流采集过来,进行A/D 转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来,这种设计需要用到A/D 转换电路,其中还涉及到电阻与温度的对应值的计算,感温电路比较麻烦。而且在对采集的信号进行放大时容易受温度的影响从而出现较大的偏差。 2.1.2 方案二 考虑到用温度传感器,在单片机电路设计中,大多都是使用传感器,所以这是非常容易想到的,所以可以采用一只温度传感器DS18B20,此传感器,可以很容易直接读取被测温度值,进行转换,电路简单,精度高,软硬件都以实现,而且使用单片机的接口便于系统的再扩展,满足设计要求。 从以上两种方案,很容易看出,采用方案二,电路比较简单,费用较低,可靠性高,软件设计也比较简单,故采用了方案二。 2.2系统总体设计 温度计电路设计总体设计方框图如图2.1所示,控制器采用单片机STC89C52,温度传感器采用DS18B20,用4位LED 数码管以串口传送数据实现温度显示。

简易数字温度计课程设计

唐山学院 单片机原理课程设计 题目简易数字温度计 系 (部) 智能与信息工程学院 班级 姓名 学号 指导教师 2017 年 1 月 2 日至 1 月 6 日共 1 周 2017年1月4日

《单片机原理》课程设计任务书

课程设计成绩评定表

目录 1.方案论证 0 2.硬件设计............................................ 错误!未定义书签。 2.1系统构成 (1) 2.2器件选择 (1) 2.2.1 AT89C51概述 (1) 2.2.2 AT89C51引脚功能 (3) 2.2.3复位电路的设计 (4) 2.3数字温度传感器 (5) 2.3.1 DS1621的技术指标 (5) 2.3.2 DS1621的工作原理 (6) 2.4 单片机和DS1621接口电路...................... 错误!未定义书签。 2.5 七段LED数码显示电路 (7) 3.系统软件设计 (9) 3.1 编程语言选择 (9) 3.2 主程序的设计 (9) 3.3 温度采集模块设计 (10) 3.4 温度计算模块设计 (10) 3.5 串行总线编程 (11) 4.软硬件调试结果分析 (12) 5.设计总结 (13) 6.参考文献 (14) 附录A 多点温度采集系统电路原理图 (15)

1.方案论证 该系统可以使用方案一:热敏电阻;方案二:数字温度芯片DS1621实现。采用数字温度芯片DS1621 测量温度,输出信号全数字化。便于单片机处理及控制,省去传统的测温方法的很多外围电路。且该芯片的物理化学性很稳定,它能用做工业测温元件,此元件线形较好。在0—100摄氏度时,最大线形偏差小于1摄氏度。DS1621 的最大特点之一采用了单总线的数据传输,由数字温度计DS1621和微控制器AT89C51构成的温度测量装置,它直接输出温度的数字信号,可直接与计算机连接。这样,测温系统的结构就比较简单,体积也不大。采用51 单片机控制,软件编程的自由度大,可通过编程实现各种各样的算术算法和逻辑控制,而且体积小,硬件实现简单,安装方便。 控制工作,还可以与PC 机通信上传数据,另外AT89S51 在工业控制上也有着广泛的应用,编程技术及外围功能电路的配合使用都很成熟。 该系统利用AT89C51芯片控制温度传感器DS1621进行实时温度检测并显示,能够实现快速测量环境温度,并可以根据需要设定上下限报警温度。该系统扩展性非常强,它可以在设计中加入时钟芯片DS1302以获取时间数据,在数据处理同时显示时间,并可以利用AT24C16芯片作为存储器件,以此来对某些时间点的温度数据进行存储,利用键盘来进行调时和温度查询,获得的数据可以通过MAX232芯片与计算机的RS232接口进行串口通信,方便的采集和整理时间温度数据。故采用了方案二。 测温电路的总体设计方框图如图1-1所示,控制器采用单片机AT89C51,温度传感器采用DS1621,用5位LED数码管以串口传送数据实现温度显示。 图1-1 测温电路的总体设计方框图

基于单片机的数字温度计设计开题报告

****大学综合性设计实验 开题报告 ?实验题目:数字温度计的设计 ?学生专业10电气工程与自动化 ?同组人:———————— ?指导老师: 2013年4月

1.国内外现状及研究意义 随着科技的不断发展,现代社会对各种信息参数的准确度和精确度的要求都有了几何级的增长,而如何准确而又迅速的获得这些参数就需要受制于现代信息基础的发展水平。在三大信息信息采集(即传感器技术)、信息传输(通信技术)和信息处理(计算机技术)中,传感器属于信息技术的前沿尖端产品,尤其是温度传感器技术,在我国各领域已经引用的非常广泛,可以说是渗透到社会的每一个领域,人民的生活与环境的温度息息相关,在工业生产过程中需要实时测量温度,在农业生产中也离不开温度的测量,因此研究温度的测量方法和装置具有重要的意义。 测量温度的关键是温度传感器,温度传感器的发展经历了三个发展阶段: ①传统的分立式温度传感器 ②模拟集成温度传感器 ③智能集成温度传感器。 目前的智能温度传感器(亦称数字温度传感器)是在20世纪90年代中期问世的,它是微电子技术、计算机技术和自动测试技术(ATE)的结晶,特点是能输出温度数据及相关的温度控制量,适配各种微控制器(MCU)。社会的发展使人们对传感器的要求也越来越高,现在的温度传感器正在基于单片机的基础上从模拟式向数字式,从集成化向智能化、网络化的方向飞速发展,并朝着高精度、多功能、总线标准化、高可靠性及安全性、开发虚拟传感器和网络传感器、研制单片测温系统等高科技的方向迅速发展,本文将介绍智能集成温度传感器DS18B20的结构特征及控制方法,并对以此传感器,AT89S51单片机为控制器构成的数字温度测量装置的工作原理及程序设计作了详细的介绍。与传统的温度计相比,其具有读数方便,测温范围广,测温准确,输出温度采用数字显示,主要用于对测温要求比较准确的场所,或科研实验室使用。该设计控制器使用ATMEL公司的AT89S51单片机,测温传感器使用DALLAS公司DS18B20,用液晶来实现温度显示。 2.方案设计及内容 (一)、方案一 采用热电偶温差电路测温,温度检测部分可以使用低温热偶,热电偶由两个焊接在一起的异金属导线所组成,热电偶产生的热电势由两种金属的接触电势和单一导体的温差电势组成。通过将参考结点保持在已知温度并测量该电压,便可推断出检测结点的温度。数据采集部分则使用带有A/D 通道的单片机,在将随被测温度变化的电压或电流采集过来,进行A/D 转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来。热电偶的优点是工作温度范围非常宽,且体积小,

数字温度计的设计与实现

基于单片机的数字温度计的设计 摘要 随着时代的进步和发展,单片机技术已经普及到我们生活,工作,科研,各个领域,已经成为一种比较成熟的技术。本文将介绍一种基于单片机控制的数字温度计,就是用单片机实现温度测量,传统的温度检测大多以热敏电阻为温度传感器,但热敏电阻的可靠性差,测量温度准确率低,而且必须经过专门的接口电路转换成数字信号才能由单片机进行处理。本次采用DS18B20数字温度传感器来实现基于AT89S52单片机的数字温度计的设计,这种设计需要用到A/D转换电路,感温电路比较麻烦。其输出温度采用数字显示,主要用于对测温比较准确的场所,或科研实验室使用,该设计控制器采用单片机8051,温度传感器采用 DS18B20,以边沿D触发器7474、移位寄存器74LS164和共阴极LED数码管为主体设计了一款简易数字式温度计实现温度显示。 关键词:数字温度计;单片机;传感器;DS18B20;

目录 第一章绪论 (1) 第二章数字温度计的总体设计 2.1总体设计方案 2 2.2 重要性能指标 (2) 2.3 系统主要模块方案论证与比较 (2) 2.3.1控制模块的选用 (2) 2.4 设计要求和实现的功能 (3) 3.1 主要芯片介绍 (4) 3.1.1 AT89S52的介绍 (4) 3.2 温度检测模块 (7) 3.2.1 DS18B20的简介 (7) 3.2.2 DS18B20的引脚功能 (9) 3.2.3 DS18B20的两个表格 (10) 3.2.4 DS18B20的测温原理 (11) 3.2.5 DS18B20的时序设置 (12) 3.2.6 DS18B20硬件电路设计 (13) 4.1 系统主程序 (15) 4.3 计算温度子程序流程图 (16) 4.4 显示数据子程序 (17) 4.5 系统初始化程序 (17) 4.6 温度转换段码子程序 (18) 5.1 Proteus软件介绍 (20) 5.1.2 工作界面 (21) 5.2 仿真结果图 (22) 参考文献 (26)

数字温度计实验报告

课程授课教案 一、实验目的和要求 1.掌握集成运算放大器的工作原理及其应用。 2.掌握温度传感器工作原理及其应用电路。 3. 了解双积分式A/D转换器的工作原理。 4. 熟悉213位A/D转换器MC14433的性能及其引脚功能。 5. 熟悉模拟信号采集和输出数据显示的综合设计与调试方法。 6. 进一步练习较复杂电路系统的综合布线和读图能力。 设计要求如下: 1. 设计一个数字式温度计,即用数字显示被测温度。数字式温度计具体要求为: ①测量范围为0~100℃ ②用4位LED数码管显示。 二、主要仪器和设备 1.数字示波器 2.数字万用表 3.电路元器件: 温度传感器 LM35 1片 集成运算放大器LM741 1片 集成稳压器 MC1403 1片 A/D转换器 MC14433 1片 七路达林顿晶体管列阵 MC1413 1片 BCD七段译码/驱动器 CC4511 1片 电阻、电容、电位器若干 三、实验内容、原理及步骤 1.总体方案设计 图1为数字温度计的原理框图。其工作原理是将被测的温度信号通过传感器转换成随温度变化的电压信号,此电压信号经过放大电路后,通过模数转换器把模拟量转变成数字量,最后将数字量送显示电路,用4位LED数码管显示。 图1 数字温度计原理框图 2. 温度传感器及其应用电路 温度传感器LM35将温度变化转换为电信号,温度每升高一度,大约输出电压升高10mV。在25摄氏度时,输出约250mV。图2(a)、(b)图为LM35测温电路。

(a)基本的测温电路(+2°C to +150°C) (b)全量程的测温电路(?55°C to +150°C) 图2(a)、(b)图为LM35测温电路 LM35系列封装及引脚参见下图 3。 图 3 LM35系列封装及引脚图 3.放大电路 放大器使用LM 741普通运放,作为实验用数字温度计,可以满足要求;如果作为长期使用的定型产品,可以选用性能更好、温度漂移更小的OP07等型号的产品,引脚与LM741兼容,可以直接替换使用。此放大器的目的是通过提供合适的放大倍数及使用一定的参考电压,将线性输出变化的温度信号电压对应的LED数字变化与实际温度变化基本一致。它实际上是一个增益和偏置可调的线性放大电路,调整可变电阻器R,可以改变增益,使温度显示变化和实际变化取得一致。输入端所接的调零电阻,是调节偏置的,用来使显示温度数字和实际温度一致。(参考227页) 4. A/D转换器 A/D转换器,采用MOTOROLA公司的产品MC14433。A/D转换器MC14433的内部结构及其引脚图如下图4所示。该芯片为本系统的核心电路,将模拟电压信号转换为数字信号,并分别输出数据信号和选通脉冲等。该芯片具有外围电路简单,不需要使用昂贵的石英晶体振荡器提供时钟信号,片内可以自己产生显示所需的选通脉冲和刷新信号等特色,仅需少量外围电路配合,就能实现LED的数字显示功能。

单片机课程设计—数字温度计

第1章概述 1.1 数字温度计简介 随着人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中数字温度计就是一个典型的例子,但人们对它的要求越来越高,要为现代人工作、科研、生活、提供更好的更方便的设施就需要从数单片机技术入手,一切向着数字化控制,智能化控制方向发展。 此次课程设计所介绍的数字温度计与传统的温度计相比,具有读数方便,测温范围广,测温准确,其输出温度采用数字显示,主要用于对测温比较准确的场所,或科研实验室使用,该设计控制器使用单片机AT89S51,测温传感器使用DS18B20,用3位共阳极LED数码管以串口传送数据,实现温度显示,能准确达到以上要求。 1.2 设计内容及要求 本次单片机课程设计将以51系列单片机为核心,以开发板为平台;设计一个数字式温度计,要求使用温度传感器(可以采用DS18B20或采用AD590)测量温度,再经单片机处理后,由LED数码管显示测量的温度值。测温范围为0~100℃,精度误差在0.5℃以内。

第2章系统总体方案设计 2.1数字温度计设计的方案 在做数字温度计的单片机电路中,对信号的采集电路大多都是使用传感器,这是非常容易实现的,所以可以采用一只温度传感器DS18B20,此传感器,可以很容易直接读取被测温度值,进行转换,就可以满足设计要求。采集之后,通过使用51系列的单片机,可以对数据进行相应的处理,再由LED显示电路对其数据进行显示。 2.2系统设计框图 温度计电路设计总体设计方框图如图 2.1所示,控制器采用单片机AT89S51,温度传感器采用DS18B20,用6位LED数码管以串口传送数据实现温度显示。此外,还添加了报警系统,对温度实施监控。 图2.1 数字温度计框图

数字温度计设计

数字温度计设计 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

电子技术课程设计报告(数字温度计) 姓名: 学号: 专业年级:电信111 指导教师: 设计时间:2013/06/17-2013/06/27

第一章引言 科技的高速发展,科技产品在不断的的更新。传统的温度计已经不能满足人们对温度准确度和精确度的要求。这些参数的获取都需要有高科技做保证,在三大信息信息采集(即传感器技术)、信息传输(通信技术)和信息处理(计算机技术)中,传感器属于信息技术的前沿尖端产品,尤其是温度传感器技术,在我国各领域已经引用的非常广泛,可以说是渗透到社会的每一个领域,人民的生活与环境的温度息息相关,在工业生产过程中需要实时测量温度,在农业生产中也离不开温度的测量,因此研究温度的测量方法和装置具有重要的意义。 测量温度的关键是温度传感器,温度传感器随着温度而引起的物理参数变化有:膨胀,电阻,电容,电动势,磁性能,频率,光学特性及热噪声等等。温度传感器的发展经历了三个发展阶段:传统的分立式温度传感器、模拟集成温度传感器、智能集成温度传感器。 当今信息化时代展过程中,各种信息的感知、采集、转换、传输和处理的功能器件已经成为各个应用领域中不可缺少的重要技术工具。传感器是信息采集系统的首要部件,是实现现代化测量和自动控制的主要环节,是现代信息产业的源头,又是信息社会赖以存在和发展的物质与技术基础。可见理解和撑握传感器的知识与技术有着其极重要的意义。 对采集的信息都希望用最直接的方式显示出来,但是传感器所采集的信息是模拟的信号,并且信号是非常微小的,需要用放大器进行放大。模拟信号不能直接用数字仪器直接显示,通过模数转换之后就可以将模拟量转变成数字量,在通过数码管进行显示。有些可以直接与单片机链接。数码管有共阳极与共阴极两类,本次设计采用的是共阳极的七段数码管。 第二章设计任务与要求 ①设计任务:设计一数字温度计,将测量的温度值转换为数字量并显示出来,即将收集的模拟的信号转换成数字信号。 ②设计要求:必须选择一个温度传感器,并且所设计的数字温度计测量的范围为0-100℃,采用数模转换(单片机除外),LED数码管进行数字显示。 第三章设计方案 设计方案主要包括温度的采集与信号的放大,数模转换,数码显示三部分。

数字体温计的设计

数字体温计的设计 一、实验目的 1.研究NTC热敏电阻的电学、热学性质。 2.利用NTC热敏电阻设计一个数字体温计,并评估其精度。 二、实验原理 (一)NTC热敏电阻 NTC是Negative Temperature Coefficient的缩写, 意思是负的温度系数,泛指负温度系数很大的半导体 材料或元器件,所谓NTC热敏电阻器就是负温度系 数热敏电阻器。它是以锰、钴、镍和铜等金属氧化物 为主要材料,采用陶瓷工艺制造而成的。这些金属氧 化物材料都具有半导体性质,因为在导电方式上完全 类似锗、硅等半导体材料。温度低时,这些氧化物材 料的载流子(电子和孔穴)数目少,所以其电阻值较 高;随着温度的升高,载流子数目增加,所以电阻值 降低。NTC热敏电阻器在室温下的变化范围在102~ 106欧姆,温度系数-2%~-6.5%。NTC热敏电阻器可广泛应用于温度测量、温度补偿、抑制浪涌电流等场合。 部分专业术语: 1.(额定)测量功率P m(mW) 热敏电阻在规定的环境温度下,阻体受测量电流加热引起的阻值变化相对于总的测量误差来说可以忽略不计时所消耗的功率。一般阻值变化不应大于0.1%。 当热敏电阻受测量电流加热引起的阻值变化恰为0.1%时,对应的测量功率P m称为额定测量功率,其数值约在1mW左右,并与环境温度有关。【根据图1所示的热敏电阻的尺寸、玻璃的热容量及导热系数等参数,可以估算出P m的大致数量级。】 2.零功率电阻值R T(Ω) R T指在温度T时,采用小于额定值的测量功率测得的电阻值。 3.额定零功率电阻值R25(Ω) 根据国标规定,额定零功率电阻值是NTC热敏电阻在基准温度25℃时测得的电阻值R25,这个电阻值就是NTC热敏电阻的标称电阻值。例如,实验室使用的NTC热敏电阻的阻值为10 k ,就是指该NTC热敏电阻的R25 = 10 kΩ。 4.材料常数(热敏指数)B(K) B值的定义式为:B=T1T2 T2?T1ln R1 R2 图1 玻璃封装系列NTC热敏电阻

一种新型数字温度计的设计

一种新型数字温度计的设计 莫禾胜* 李精华 (桂林航天工业高等专科学校 电子工程系,广西 桂林 541004) 摘 要 单片机在日用电子产品中的应用越来越广泛,对各种测量仪器、 测量装置的测量精度要求也越来越高,尤其是对温度的测量非但要准确,而且需读取数值更直观更方便。文章介绍了一款基于AT 89S51单片机和温度传感器DS18B20的新型数字温度计的软件及硬件设计过程,并对硬件原理图和程序流程图作了描述。 关键词 单片机;温度传感器;动态扫描 中图分类号:T H811 文献标识码:A 文章编号:1009 1033(2008)02 0044 03 随着单片机技术的不断发展,单片机在日用电子产品中的应用越来越广泛,温度传感器DS18B20具有线性优良、性能稳定、灵敏度高、抗干扰能力强、使用方便等优点,广泛应用于冰箱、空调器、粮仓等日常生活中温度的测量和控制。传统的温度计有反应速度慢、读数麻烦、测量精度不高、误差大等缺点,下面介绍一款基于A T 89S51单片机和温度传感器DS18B20的新型数字温度计的设计过程,其电路简单,软硬件结构模块化,易于实现。 1 系统功能原理及硬件组成 该数字温度计利用DS18B20集成温度传感器来完成温度的测量,然后送到单片机AT 89S51中进行处理变换,最后将温度值显示在D3、D2、D1共3位七段码L ED 显示器上。系统以A T 89S51单片机为控制核心,加上DS18B20测温电路、4位温度数据显示电路以及外围电源、时钟电路等组成。温度计电路设计总体设计框图如图1 所示。 图1 总体设计方框图 1.1 主控制器 AT 89S51是一个低功耗,高性能CM O S 8位单片机,片内含4k 可反复擦写1000次的F lash 只读程序存储器,器件采用AT M EL 公司的高密度、非易失性存储技术制 造,兼容标准M CS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP F lash 存储单元,功能强大的微型计算机的AT 89S51可为许多嵌入式控制应用系统提供高性价比的解决方案。A T 89S51具有如下特点:40个引脚,4k Bytes F lash 片内程序存储器,128by tes 的随机存取数据存储器(RA M ),32个外部双向输入/输出(I/O )口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(W DT )电路,片内时钟振荡器。 1.2 显示电路 显示电路采用3位共阳L ED 数码管,利用动态扫描方式,从P0口输出段码,P2口的P2.5、P 2.6、P2.7输出位控制码。 1.3 温度传感器 D S18B20温度传感器是美国DA L LA S 半导体公司最新推出的一种改进型智能温度传感器,与传统的热敏电阻等测温元件相比,它能直接读出被测温度,并且可根据实际要求通过简单的编程实现9~12位的数字值读数方式。D S18B20温度传感器的内部存储器还包括一个高速暂存R AM 和一个非易失性的可电擦除的EERA M 。系统对D S18B20的各种操作按协议进行。操作协议为:初使化D S18B20(发复位脉冲) 发RO M 功能命令 发存储器操作命令 处理数据。分别说明如下: (1)初始化 单总线的所有处理均从初始化开始。初 始化过程是主机通过向作为从机的DS18B20芯片发一个有时间宽度要求的初始化脉冲实现的。初始化后,才可进行读写操作。 (2)存储器操作命令 总线主机检测到DS18B20的存在,便可以发出RO M 操作命令,这些命令见表1: 44 * 作者简介:莫禾胜(1966 ),男,广西桂林人,桂林航天工业高等专科学校电子工程系教师,工程师,讲师。主要研 究方向:电子技术应用。

相关主题
文本预览
相关文档 最新文档