当前位置:文档之家› Capture NX2 —调片流程及实例

Capture NX2 —调片流程及实例

Capture NX2 —调片流程及实例
Capture NX2 —调片流程及实例

用Capture NX2调整照片(Raw文件)流程

【用ViewNX2将照片导入电脑】

1.打开ViewNX2;

2.从“文件”中选择“启动Transfer”,单击“启动Transfer2”打开它;

3.单击“源”标签,在“搜索”下拉菜单中,钩选上“相机”和“存储卡”

4.单击“主目标”标签,在“主目标文件夹”下拉菜单中,选择一个存放文件夹,点选上“每次传送前新建子文件夹”,再打开后面的“编辑”界面进行必要的设置;

5.单击“备份目标”标签,在“备份目的地文件夹”下拉菜单中,选择一个存放备份文件夹,点选上“使用与主目标相同的设置”,如果要进行备份就钩选上“将文件备份”,不钩选就不备份;

6.单击“首选项”,钩选上“传送过程中嵌入XMP/IPTC信息”、“只传送新文件”、“传送完后自动断开”、“不要更改原始文件的等级”、在“XMP/IPTC预设”选择“无”、在分组中选择“拍摄日期”。

7.最后单击右下角的“开始传送”。

【筛选照片流程】

1.打开ViewNX2;

2.按“←或→”选择照片,然后选右下角的小五星,一般为3星或4星;

3.点选右上角的“筛选器”,打开“筛选器”来筛选照片,

4.点选“筛选器”中的小五星,进行筛选照片。

5.选中一张照片,点右键,选择“打开方式”中的“Module Microsoft 基础类应用程序”,打开NX2进行编辑。

【RAW彩色照片处理流程】

照片的调整分为“基础处理”和“调色”两大部分。基本调整是其它后续调整工作(如彩色控制点)的核心基础,调整步骤如下:

准备部分

用Capture NX2打开一个NEF文件,“编辑列”中有“显影”与“调整”两项,而“显影”下又有“相机设置”、“快速修正”和“相机和镜头调整”三项。而“调整”下面通过“新建步骤”有若干个调整项。

一、基础处理部分

1、去除污点与杂物(没有污点可跳过此项)

按“Ctrl+Alt+0”键放大画面到100%,检查照片是否有污点或杂物。若有则在NX2中按“R”键调用“自动修复画笔工具”全面清理即可。

2、镜头校正(超广角的风光照常用到此功能)

2.1 校正水平和镜头变形

“新建步骤”>“选择调整”>“校正”>“畸变控制”,向左拉动“校正”滑块减少枕状变形,向右拉动“校正”滑块减少桶状变形。如果照片倾斜可用“拉直工具”调正。

2.2 镜头暗角

“相机和镜头调整”>“暗角控制”>“强度”,拉动滑块去除或添加暗

角。

2.3 色差

“新建步骤”>“选择调整”>“校正”>“横向色差校正”,向左拉动“红色/青色”滑块可减少红色边、向右拉动“红色/青色”滑块可减少青色边,向左拉动“蓝色/黄色”滑块可减少蓝色边、向右拉动“蓝色/黄色”可减少黄色边。

3、裁剪照片

按“C”键打开“裁剪工具”,注意风光片横裁为16:9,竖裁为4:6。

4、优化校准

在“相机设置”中的“优化校准”里选择“优化校准”,然后在其下面的下拉选项中选择预设的选项。

标准(Standard,SD):标准优化校准模式作为制造商设定的“标准”,在饱和度与对比度上都考虑到了“平衡”的重要性,既不太低也不太高,使得标准模式应用在绝大多数场合都不会犯错。无论拍摄人像还是风景,标准模式都能够有中规中矩的表现。所以,如果你根本不想学习优化校准或者从来不调整设置,标准是最简单的选择。

自然(Neutral,NL)自然优化校准模式是低对比度和低饱和度的设置。自然能够获得最宽广的动态范围,获得一张最“自然”的照片,给予后期处理最大的余地。一般来说,自然不是一个非常适于直接出片的模式,但是在高对比度的场景中有时也可以相对获得较好的效果。

鲜艳(Vivid,VI)鲜艳优化校准模式是高对比度和高饱和度的设置,使用鲜艳模式的照片色彩鲜艳,对比强烈,使用得当能够产生明快而艳丽

的效果。但是,由于对比度很高,容易造成暗部细节丢失和高光溢出;由于饱和度高,也常常会造成偏色。

人像(Portrait,PT):人像优化校准模式是一种低对比度的模式,其对比度几乎与自然模式相似,但是人像模式的饱和度略高。人像模式对不同色相的饱和度设置有所不同,主要起到的效果是保护肤色—即在饱和度提高的情况下,肤色得以保持在较低饱和度的状态。

风景(Landscape,LS):风景优化校准模式的总体特点与鲜艳相似,与鲜艳的不同之处在于风景的对比度更高一些,是所有优化校准中对比度最高的。在饱和度方面,风景有突出绿色的效果,与鲜艳相比,其绿色的饱和度稍高,红色的饱和度略低。

PTPROTRAIT(PT):官方专为D700发布,适用于人像;

LSANDSCAPE(LS):官方专为D700发布,适用于风景。

D2XMODE1(M1)是人像模式,和目前的人像模式相比,D2XMODE1的对比度略高,饱和度较低,同时D2XMODE1强调了肤色的表现,在效果上使皮肤显得比较红润;

D2XMODE2(M2)属于D2X系列的标准设置,其特点是饱和度低,但是对比度相对较高(略高于标准模式),在色彩准确的同时获得相对比较干净的画面;

D2XMODE3(M3)属于风景模式,与D2XMODE2相比,D2XMODE3的对比度和饱和度都更高;但是与鲜艳模式相比,D2XMODE3模式的对比度和饱和度都较低。

对于上述优化校准模式的主要特点,我列简表于下,供你参考。

No.1 V9 NIKON(V9曲线粉人像),显示的文件名为Mic_pink_V9 No.2 V9 NIKON (V9曲线黑白像),显示的文件名为Mic_Bnw_V9 No.3 Bluesky (黑面曲线人像),显示的文件名为WINGMAN_VIVD

No.4 Bluesky(黑面曲线风景),显示的文件名为Bluesky

No.5 S5Pro (人像王),显示的文件名为S5Pro

No.6 V9 NIKON (V9的风景曲线),显示的文件名为Mic_Blue_V9 No.7 Leica (徕卡风景),显示的文件名为LANDSCAPE_ Leica

二、整体调色部分

1、调整白平衡

调整白平衡,可以用NX2自动计算,也可以选用预设的色温,还可以用灰卡调整。需要特别强调的是,调整白平衡不可以也不应该以“色彩准确”为唯一目标,用特殊色彩表现摄影意图、营造现场气氛等,同样重要。

用灰卡设置或调整白平衡最准,得到的色彩也讨好眼球。可以用专用灰卡,也可以因陋就简用白纸或白纸板,注意不要让白纸过曝。拍摄时先将灰卡放在拍摄对象旁边,用自动白平衡拍一张照。拿掉灰卡,在相同光照下继续拍照。

进入编辑列> 显影>相机设置> 白平衡,选择“设定灰点”。使用“选框取样”,点击“开始”后用光标在图中灰卡部位选择一个取样区域(矩形或方形区域),自动得到正确的色温,点击右上角的“小双齿轮”图标,通过“复制调整”来存储白平衡信息。然后调出其它照片,通过“粘贴调整”就可以施加正确的白平衡。

2、调整白点和黑点

调整白点,按下“Shift+H”键,显示失去的高光,丢失的高光显示为彩色或白色,其余画面为黑色。然后,进入“快速修正”中的调整“高光”。仔细调整“高光”值,直到需要保留细节的部分刚好没有显示彩色或白色为止(如果还有彩色或白色的话,可把曲线图中左上边的小白三角向下拉一拉),再按一下Shift+H键正常显示。

接下来调整黑点,按一下“Shift+S”键,显示失去暗部,丢失的暗部显示为彩色或黑色,其余画面为白色。进入“快速修正”> 调整其中的“暗部”,以刚好开始显示彩色或黑色为止。再按一下“Shift+S”键正常显示。这一步的调整重点是白点,黑点为辅。

如果画面完全没有失去暗部(按Shift+S键显示为全部白色),就需要把曲线图左下方的黑三角往右移动。事实上,黑点在许多情况下不用调整。要做到毫无高光或者暗部丢失,那是完全没有必要的。

3、调整整体亮度和反差

调整照片的反差对比,“相机设置”>“优化校准”>“色调补偿功能”,选择预设的“低对比度、中低、正常、中高、高对比度”或用户自定曲线,

直截了当。如果在拍摄中使用了“优化校准”就不能进行设置。

改变LCH编辑器主亮度曲线形状,如S形曲线,也可以调整画面的反差。

调整照片的整体亮度,可以使用LCH编辑器主亮度通道的灰三角,左右移动,画面的整体亮度可以变暗或变亮。灰三角可改变中间色调的亮度,同时保存高光和阴影的细节。使用LCH编辑器主亮度通道调整画面亮度,主要是LCH编辑器调整亮度不会影响颜色。

4、调整照片的色彩饱和度

“相机设置”>“优化校准”>“饱和度”功能,选择预设的“中等、正常、提高”三个选项。选择“未更改”可恢复拍照时的饱和度。或再“快速修正”中调整“饱和度”。

也可以使用“LCH编辑器”的“色度”通道,移动右侧三角来调整画面的整体颜色饱和度,向上移动变得鲜艳,向下移动变得暗淡。调整个别颜色饱和度,可在曲线上选点,向上或向下拖动。若要保持颜色光滑过渡,建议不要勾选“排除灰色。”

5、中性色控制点

中性色控制点:当天气并非晴空万里,使用自动白平衡,色调常会不够准确,色调有明显的偏差。这时可以利用中性色控制点重新定义照片中的中间调(中灰),会依参考点重新调整其它色调,可大幅校正色差问题。选择中性色控制点在照片中认为在接近中间色调的地方点一下,这是R、G、B的值都是128,除非有把握一般情况下不要动它们。至于这个点放在哪儿最合适呢?可参考右下角的直方图,当红色、绿色和蓝色三种颜色曲线重叠范围最多时,就是最合适的位置。

6、其它调整

其它调整还包括:D-Lighting、颜色增强器、相片效果、USM锐化、降噪等,这些项目同时出现在两个地方,既在“调整”里面的“选择调整”,也出现在“调整”菜单和“滤镜”菜单中。建议使用在“调整”菜单和“滤镜”菜单中的功能,显示速度会快一些。

7、给照片添暗角

⑴点击“套索工具”中“椭圆先框”,要点选“-”;

⑵在图中拉出一个椭圆(外边套一个矩形),然后进行微调;

⑶用“填充工具”对矩形与椭圆之间的区域进行填充;

⑷适当的调整“选区”下的“不透明度”和“羽化”程度;

⑸从“选择调整”中选择“亮度”里的“对比度与亮度”;

⑹然后调整“暗度”。

8、存盘与输出

按“Ctrl+S”键存盘NEF文件,保留调整参数。然后,按Ctrl+shift+S 另存为TIFF(sRGB)文件,输出16位,嵌入ICC配置文件,送去Photoshop 或Lightroom3中调整。或者直接用U点技术在NX2里做进一步的调整。

9、NEF文件优化校准后直出JPGE

打开一张NEF图片,从“显影”\“相机设置”\“优化校准”中选择

“优化校准”,再从下面的“优化校准”下拉菜单中选择一种“预设”应用

到当前照片上。然后,单击“编辑列表”的右上角“小齿轮”图标,选择“保存设置”,保存此调整。然后,按“Ctrl+Alt+Shift+B”键打开“运行批处理”如下图,在“来源”中选择NEF文件,钩选“应用设置”,选择“使用设置文件”,然后,从“浏览”中选择前面保存的“调整预设”文件。在“文件格式”选择“JPG”,再选择一个存放“JPG文件的”目录,点击“开始”即可。

10、用Turbo Photo添加LOGO与文字

输出文件为边长1280像素,文件大小为300kb左右。

三、局部调色部分

1、彩色控制点(Ctrl+shift+A)的使用技巧

实例一:让天空变的更蓝

如上图所示,在天空的合适位置放置一个彩色控制点,然后将彩色控制点作用的范围拉到最大(100%),亮度(B)减小一半(52%),对比

度(C)增加1/5(20%),饱和度(S)增加4个点(4%),按确定按钮完成。

设置前和设置后的对比如下图:

从上面的比较图可以看出,天空变得更蓝而群山却更绿,

注意:要加强天空的颜色,未必要通过改变颜色来实现。如本例所示,采用改变亮度、对比度和饱和度的办法更为理想。不仅避免了色彩的失真,而且只需要一个彩色控制点即可解决问题,不存在改变颜色所带来的色彩污染问题,边界过渡也更自然。当然,如果硬要将阴天改为晴天只能采用改变颜色的办法,但并不值得推荐。

实例二:让照片告别灰色

经常会发现自己拍出的片子发灰,不够明快,缺乏吸引力。其实,如果对后期调整和处理比较熟悉的话,也可以将灰蒙蒙的照片变得明快和靓丽。下面这张照片由于是逆光拍摄,因此不可避免地会发灰:

经过NX2处理后,变成如右图这样,

让我们来看一下这张片子是如何处理的,如下图所示,仅仅只用了两个彩色控制点,第一个彩色控制点调整了4个参数,第二个彩色控制点只调整了两个参数,具体数值如图所示。

虽然很简单,但还是可以对其作一点分析。与上个实例相同的是本例也是只调整了范围、亮度、对比度和饱和度,没有改变颜色,却达到了增强颜色的效果。因此,正如我们在前一个实例中所指出,不要轻易地直接改变颜色,通过改变亮度等方式也许更容易达到目的。

本例中最值得注意和思

考之处是两个彩色控制点的

位置和范围,两个彩色控制点

都放在鹿的身上,并且第一

个彩色控制点的作用范围是

100%,第二个彩色控制点的

作用范围只有16%,分别如

下图所示:

从第一个彩色控制点的作用范围图可以看出,虽然其点位于鹿的身上,但靠近边沿,并且作用范围拉到最大,因此其影响的范围除了几处高光之外,几乎是全图。但彩色控制点的巧妙之处在于调整并不是平均作用在整个范围,而是与U点所在位置元素相同或接近的最强,然后渐次减弱,虽然这个彩色控制点的作用范围遍及全图,但作用效果却不相同,因此,无法用基本调整来代替。

第二个彩色控制点位于鹿身上的高光处,作用范围仅限于高光区域,在此设置彩色控制点使得可以压低高光,从而表现出高光部分的细节。

2、选取画笔(B)的使用技巧

实例一:用选取画笔选出背景,再用高斯模糊对背景进行虚化

NX2可以对局部进行调整,必须要有一些工具可以对区域进行选择,除了U 点之外,还有选取画笔、选框和套索、渐变以及填充工具等,这些工具中以选取画笔工具最为灵活、也比较容易掌握。本例即是用画笔工具选出背景,再用高斯模糊对背景进行虚化。

第一步,点击“选择画笔”,请注意此时是选择画笔工具右侧上面的“+”号被按下,意味着此“选择画笔”为加画笔状态,此时,在工具栏下面弹出选择画笔的选项栏,将画笔硬度设置为0,不透明度设置为100%,用“[”和“]”键调整画笔的粗细,也可在画笔选项窗口中调整,用画笔在图像需要虚化的部分涂抹,将其覆盖。如果在涂抹的过程中不小心覆盖了不要虚化的部分,可选减画笔(就是在画笔工具右侧将减号按下)或按下Alt键,将覆盖的部分擦去,一直到所有需要虚化的部分都已被涂抹。如下图:

第二步,用“选择画笔”工具在图像中点击一下,这时在右边的编辑列表里会加入一个“选择调整”项,其中有一个“选择调整”下拉框,点击其右边的下三角按钮,将会弹出一个下拉菜单,移动光标到“对焦”项时,将会在左边出现第二级下拉菜单,选中其中第一项“高斯模糊”,将在下面出现一调整窗口,将其中“半径”的滑块拉到最右边即100像素处,下面的“透明度”不变,按“确定”

按钮,图像将会在画笔涂抹过的地方虚化,大功就此告成。如下图。

实例二:图23为原图,全片均很清晰,欲虚化环境,使四周模糊而中

通过画笔涂抹、修改或消除局部

画面来获得。在操作画笔之前,

先对图像实行模糊效果的处理。

图23

图24

图25

①图23为未经调整的原图,执行菜单:“调整>对焦>高斯模糊”命令,整幅图片均显模糊状;拖移“高斯模糊”项下方的“半径”滑块(图24),控制画面的模糊程度,获得图25效果。

②单击“选取画笔” 工具图标“”,调整工具条下方即跳出画笔参数调整滑块,调整画笔状态的大小、画笔硬度、不透明度等参数至合适为止(图26)。

图26

③单击“选区”项右侧蒙版显示小图,在跳出的对话框中选“显示叠加”(图27所示),整幅图片叠上绿色;将光标移至图中小鸭,光标变成带圈的十字形,并附有“-”号,擦去小鸭身上的绿色(图27),若擦过头,

可再次点击工具图标“ ”恢复。

图27

尼康105说明书

尼康镜头说明 if和ed是两个特性指标,具体介绍见下面尼康(nikon)镜头标识的含义 ai: automatic indexing自动最大光圈传递技术发布于1977年,是nikon f卡口 的第一次大变动。ai是指将镜头的最大光圈值传递给测光系统以便进行正常曝光测量的过程 和方法。当一个ai镜头被装在兼容ai技术的机身上时,该镜头的最大光圈值在机械连动拨 杆的自动接合和驱动下传递给机身的测光系统,以实现全开光圈测光。nikon f2a、f2as、 nikkormat el2、ft3和fm是第一批获益于这项技术的机身。代表镜头:nikkor ai 50/1.4 ai-s:automatic indexing shutter自动快门指数传递技术在1981年,nikon对全线 ai镜头卡口进行了修改,以便使它能够与即将投入使用的fa高速程序曝光方式完全兼容, 这些修改后的新镜头就是ai-s卡口nikkor镜头。根据镜头光圈环和光圈直读环上的橙色最 小光圈数字以及插刀卡口上的打磨凹槽,非常容易识别。当ai-s镜头用于nikon fa机身时, 它能够根据自身的焦距向机身提供信息以选择正常程序或高速程序,在快门速度优先自动曝 光方式时,它们能够在非常宽的光照范围内提供一致的曝光控制。(因为ai-s镜头是为fa 上的曝光“自动化”而定制的,因此机身的自动曝光连动拨杆能够非常流畅地控制ai-s镜头 的光圈,以 达到更为快速而精确的曝光控制)。代表镜头:nikkor ais 50/1.4 af-s: silent wave motor静音马达代表该镜头的装载了静音马达(silent wave motor, s),这种马达等同于佳能的超音波马达(ultrasonic motor),可以由“行波”(traveling waves) 提供能量进行光学聚焦,可高精确和宁静地快速聚焦,可全时手动对焦。可支持af-s 镜头 自动对焦的相机有 f5 ; f4; f100;f90x;f90;f80;f70;f65;d1;d1x;d1h;d100, 其余的机身可以接用,也可以测光,但不能自动对焦。代表镜头:28-70mm f/2.8 ed-if af-szoom-nikkor d型镜头:distance 焦点距离数据传递技术代表镜头可回传对焦距离信息,作为 3d(景物的亮度,景物对比度,景物的距离)矩阵测光的参考以及 ttl 均衡闪光的控制。1992 年推出。代表镜头:28-105mm f/3.5-4.5d af zoom-nikkor crc:close range correction 近摄校正采用浮动镜片设计,保证近摄时光学素质不下降,例如ais 24/2.8、af 85/1.4d if之类均采用了crc技术。 dc : defocus-image control 散焦影像控制尼康公司独创 的镜头,可提供与众不同的散焦影像控制功能。镜头的前端有一个散焦定位转环,该环上的 光圈值从f2到f5.6共4挡,分别标在环的左右,用r(后景散焦)与f(前景散焦)来指示。 这是一种 特殊的定焦镜头,其最大特点在于容许对特定被摄体的背景或前景进行模糊控制,以便 求得最佳的焦外成像,这一点在拍摄人像时非常有价值,它还可以帮助我们根据所想要表现 的来控制照片的各个部分,这也是其它厂家同类镜头所无法比拟的。目前尼康只有2支dc 镜头:af dc 105mm f/2d、af dc 135mm f/2d ed : extra-low dispersion超低色散镜片是 指这支镜头内含 ed 镜片,最大限度降低镜头色差(chromatic aberration),从而保证镜头 有优异的光学表现。代表镜头:80-200mm f/2.8d ed af zoom-nikkor g型镜头与d型镜 头不同的是,该种镜头无光圈环设计,光圈调整必须由机身来完成,同时支持3d矩阵测光。 这样的设计减轻了镜头重量,降低了生产成本。该种镜头与f5、f100、f80、f65、f60、f55、 f50、f401、pronea和d1机身完全兼容,对于f4、f90\f90x、f70、f801和f-601等机身, 只能使用程序曝光和快门优先曝光模式。与剩下的其他机身不兼容。g型nikkor镜头操作更 为简便,理论上没有误操作,因为它无需手动设置最小光圈。这是塑料af镜头的延续,针对 那些几乎从不手动设置镜头的摄影者。现在nikon有将g型头推广的趋势。代表镜头:28-80mm f/3.3-5.6g af zoom-nikkor if : internal focusing内对焦技术所谓内对焦是指镜头 在对焦时,前后组镜片都不移动,而由镜头内部的一个对焦镜片组(focus lens group)的

D5000系统使用手册 综合智能分析与告警 V3.0

智能电网调度技术支持系统系统使用手册 之 综合智能分析与告警 国电南瑞科技股份有限公司 二零一四年二月

文档更新日志: 序号 更新时间 更新内容 修改人 审核人 01 2014-02 建立文档 闪鑫

目 录 第1章引言 (1) 1.1 编写目的 (1) 1.2 参考资料 (1) 1.3 术语和缩写词 (1) 第2章画面浏览器 (3) 2.1 概述 (3) 2.2 启动与退出 (3) 2.2.1 浏览器启动 (3) 2.2.2 浏览器退出 (5) 2.3 功能说明 (6) 2.3.1 主题面板 (6) 2.3.2 常用工具栏 (6) 2.3.3 配置文件与参数 (7) 第3章实时监视分析 (9) 3.1 概述 (9) 3.2 启动与退出 (9) 3.3 功能说明 (9) 3.3.1 告警总览 (9) 3.3.2 稳态监视越限信息 (10) 3.3.3 动态监视告警信息 (14) 3.3.4 二次设备告警信息 (14) 3.4 配置文件和参数 (15) 3.5 日志 (16) 3.6 其他 (16) 第4章预想故障分析 (17) 4.1 概述 (17) 4.2 启动与退出 (17) 4.3 功能说明 (17)

4.3.1 静态安全分析 (17) 4.3.2 短路电流告警信息 (19) 4.4 配置文件和参数 (19) 4.5 日志 (20) 4.6 其他 (20) 第5章故障告警分析 (21) 5.1 概述 (21) 5.2 启动与退出 (21) 5.3 功能说明 (21) 5.3.1 故障分析 (21) 5.4 配置文件和参数 (29) 5.5 日志 (30) 5.6 其他 (30)

网店美工视觉设计实战教程(全彩微课版)-48481-教学大纲

《网店美工视觉设计实战教程(全彩微课版)》 教学大纲 一、课程信息 课程名称:网店美工:店铺装修+图片美化+页面设计+运营推广(全彩微课版) 课程类别:素质选修课/专业基础课 课程性质:选修/必修 计划学时:21 计划学分:2 先修课程:无 选用教材:《网店美工视觉设计实战教程(全彩微课版)》,何晓琴编著,2018年;人民邮电出版社出版教材; 适用专业:本书可作为有志于或者正在从事淘宝美工相关职业的人员学习和参考,也可作为高等院校电子商务相关课程的教材。 课程负责人: 二、课程简介 随着网店的迅速普及和全民化,衍生了“淘宝美工”这个针对网店页面视觉设计的新兴行业。本书从淘宝美工的角度出发,为淘宝卖家提供全面、实用、快速的店铺视觉设计与装修指导。主要包括网店美工基础、图片调色、图片修饰、店铺首页核心模块设计、详情页视觉设计、页面装修、视觉营销推广图制作等,最后针对无线端进行首页、详情页视觉的设计与装修。本书内容层层深入,并通过丰富的实例为读者全方面介绍淘宝美工在日常工作中所需的知识和技能,有效地引导读者进行淘宝店铺装修的学习。 本课程主要对淘宝美工的设计基础和方法进行详细介绍,通过学习该课程,使学生了解网店美工的基本要求,以及掌握网店的设计与制作。 三、课程教学要求

体描述。“关联程度”栏中字母表示二者关联程度。关联程度按高关联、中关联、低关联三档分别表示为“H”“M”或“L”。“课程教学要求”及“关联程度”中的空白栏表示该课程与所对应的专业毕业要求条目不相关。 四、课程教学内容

五、考核要求及成绩评定 注:此表中内容为该课程的全部考核方式及其相关信息。 六、学生学习建议 (一)学习方法建议 1. 理论配合实战训练进行学习,提高学生的实战动手能力; 2. 在条件允许的情况下,可以申请一个网店,进行深入学习; 3. 提高学生的是设计感和审美能力; (二)学生课外阅读参考资料 《网店美工:店铺装修+图片美化+页面设计+运营推广(全彩微课版)》,何晓琴编著,2018年,人民邮电出版社合作出版教材

quartusII图形设计过程教程

Quartus II 的使用 (2) 1 工程建立 (2) 2 原理图的输入 (5) 3 文本编辑(verilog) (15) 4 波形仿真 (16)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击 ok按钮。此时可看到光标上粘着被选的符号,将其移到合 适的位置(参考图 1.11)单击鼠标左键,使其固定; (4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧 单击鼠标左键,即可看到在input和D触发器之间有一条 线生成;

系统常用命令及故障处理

D5000系统自动化运维手册 第八部分 D5000系统常用命令 及故障处理

目录

D5000系统常用命令及故障处理 1 D5000系统常用命令 1、系统启动/停止 启动:服务器:sys_ctl start down 工作站:sys_ctl start fast 停止:sys_ctl stop 2、服务器/工作站远程重新启动或关机:用ssh命令远程登陆到服务器或工作站,在d5000用户下停止应用系统(sys_ctl stop),然后切换到root用户执行 shutdown –ry 0 或reboot(重新启动),shutdown –hy 0 (关机)。 3、启动总控台: sys_console & 4、查看系统各节点应用运行情况:/home/d5000/hebei/showservice 5、调用检索器程序:/home/d5000/hebei/bin/search 6、重启某进程:/home/d5000/hebei/kp 进程名;进程名 7、远程调阅某工作站图形: 在本机工作站上输入:xhost + 从终端远程登录想调阅图形的工作站:ssh xt-stnXX 在登录的工作站上输入:disp 调阅图形:GExplorer login 8、启动告警窗:iapi 9、遥控监护命令:sca_guard 10、通过终端窗口切换主备调:sys_switch_zd 切主调 sys_switch_bd 切备调 11、语音告警进程:alarm_client_ifly 12、挂载U盘:

1)打开终端,在root用户下,输入fdisk –l,在显示的信息中查看本U盘名称:例如/dev/sdc1 2)输入mount /dev/sdc1 /mnt,/mnt此时即为挂载上的U盘。 3)可进行复制剪切工作,在/mnt下输入cp 文件名拷贝路径 4)不用该挂载U盘时,一定要卸载下来,不能直接插拔,否则会造成工作站文件堆积,影响使用速度。 卸载U盘:打开终端,在root用户模式下,输入umount /mnt。卸载完毕后,查看/mnt目录下是否为空,为空则说明U盘卸载成功。 13、查看工作站运行在主调还是备调上: 打开终端窗口,输入conf回车,输入cat 回车,看工作站连接的各服务器是主调还是备调。

Quartus_II使用教程-完整实例

Quartus Ⅱ入门教程 (一个Verilog 程序得编译与功能仿真) Quartus Ⅱ 就是Altera 公司推出得专业EDA 工具,支持原理图输入、硬件描述语言得输入等多种输入方式。硬件描述语言得输入方式就是利用类似高级程序得设计方法来设计出数字系统。接下来我们对这种智能得EDA 工具进行初步得学习。使大家以后得数字系统设计更加容易上手。 第一步:打开软件 快捷工具栏:提供设置(setting),编译(pile)等快捷方式,方便用户使用,用户也可以在菜单栏得下拉菜单找到相应得选项。● 菜单栏:软件所有功能得控制选项都可以在其下拉菜单中找到。 ● 信息栏:编译或者综合整个过程得详细信息显示窗口,包括编译通过信息与报错信息。 第二步:新建工程( Project Wizard ) 1 工程名称: 快捷工具栏 菜单栏 所建工程得保存路径 工作区 资源管理窗口 任务管理窗口

dqgxo 。 2添加已有文件(没有已有文件得直接跳过next ) 3 选择芯片型号(我们选择MAX3000A 系列下得EPM3256AQC208-10芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置) 工程名称 顶层模块名(芯片级设计为实体名),要求与工程名称相同 如果有已经存在得文 件就在该过程中添加, 软件将直接将用户所添加得文件添加到工程中。

4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None,然后next) 5 工程建立完成(点finish ) 所选得芯片得系列型号 快速搜索所需得芯片 选择芯片 选择第三方综合工具,如果使用Quartus 内部综合工具则选择none 选择第三方仿真工具,如果使用Quartus 内部仿真工具则选择none 选择时序分析仪

QuartusII教程(完整版)

Quartus II 的使用 (1) 1 工程建立 (1) 2 原理图的输入 (4) 3 文本编辑(verilog) (14) 4 波形仿真 (17)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图 1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;

雅安电网基于D5000系统的集群调控自动化双系统设计

雅安电网基于D5000系统的集群调控自动化双系统设计 发表时间:2016-06-22T14:54:37.580Z 来源:《科技中国》2016年4期作者:柳彤魏洁刘颖异李代成刘雅铭[导读] 集群调控自动化双系统指位于雅安公司本部的D5000系统(以下简称A系统)和石棉县公司的D5000系统。 国网雅安电力(集团)公司四川雅安 625000 摘要:智能调度D5000系统是新一代的智能电网调度技术支持系统基础平台,适应了国家电网公司“大运行”体系中五级调度控制体系的要求,实现了“远程调阅、告警直传、横向贯通、纵向管理”的功能。集群调控自动化双系统指位于雅安公司本部的D5000系统(以下简称A系统)和石棉县公司的D5000系统。本文就主要对其系统集群调控自动化双系统的设计方案及技术创新点予以简单分析。 关键词:雅安电网;D5000系统;集群调控;自动化双系统 雅安电力(集团)公司充分发挥后发优势,基于D5000系统的集群调控自动化双系统在技术的先进性、运行的可靠性、使用的灵活性等多项核心指标方面,均强于川内其他单位的同类系统。 一、集群调控自动化双系统的总体方案 2014年初根据省公司的统一部署,建设雅安地调简易采集系统(备调);根据雅安地震受灾情况向省公司申请增加地调简易采集系统(备调)的前置数据采集功能和软件功能,使其与地调D5000系统具备同等的数据规模和相当的系统性能,形成集群调控自动化双系统;完善两套系统的异构同步功能,实现两套系统的数据库维护在一套系统上完成。制定两套系统权限的使用和管理制度,实现通过稳妥的控制切换,正常运行方式下,两套系统互为热备用,当其中一套系统故障后,由另一套实现其所有的调控功能见图一。 图1 集群调控自动化双系统总体架构图 1、前置互备 1.1 实现方式 两套D5000系统的硬件配置满足独立运行的技术要求,均配置数据采集设备、前置服务器、SCADA服务器、历史服务器等设备。厂站通过专线通道尽量通过不同路由分别接入A系统和B系统,同时,两套系统分别采用104整厂转发方式向对端系统发送厂站实时数据,从而实现在两套都有两路信号接入,形成站端与两套系统双通道和双路由的格局。当任意一套系统的直收通道发生故障时,实时信息可以通过转发通道从另一套系统同步至当前系统,从而保证数据的完整性如图二所示。 图2 前置互备示意图 1.2通道组织方式 集群调控自动化双系统要求每个厂站从专线和调度数据网分别接入A、B系统,形成厂站与双系统之间双通道双路由格局。 1.3 前置互备的技术关键点 为了保证数据的实时性,系统将直采通道设为Ⅰ级,将网络通道设为Ⅱ级,通过这种机制,系统优先采用直采通道传送的数据,当直采通道出现故障时,网络通道迅速切换为值班通道,向系统传送实时数据。由于网络通道一直是作为备用通道在向系统不间断的传送数据,所以在通道进行切换时,不会出现数据丢失的情况。 2、系统互备 集群调控自动化双系统正常工作时,其主网交换机互联,两套系统采用热备用方式、实时同步运行,且A系统和B系统都具备相同和完整的电网模型。为保证两套系统的模型、图形、参数的一致性,所有的数据维护(模型、图形、参数)均在A系统中完成,A系统实时库、商用库和图形的修改将实时同步到B系统。两套系统的同步采用的是文件传送的方式,db_replicate传送图形和模型等大字段数据,sync_msg_send传送实时数据。系统的B/S、C/S结构图如图3所示:

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

FM2013战术手册

最近写了两篇战术理论,个人不是很满意,写来写去越写离我想要的结果越远,或者说根本不是我要表达的东西,以前的帖子总是想到哪写到哪,没有连续性,很多人也只能看的一知半解,现在就将所有的战术重点全写出来,融合了FM08、09、10、11、12、13的所有特点,再结合现实足球理论,提炼出来的6-4体系和链式防守体系进行全面的讲解,这也是最终的战术理论。站在专业足球人的角度,全面分析FM战术引擎,就算你是新手或战术小白也没关系,看完我的这篇帖子后你也能从入门到精通。在我的体系中只有赢球或平,绝对不允许输球;只有成功,没有失败。所以针对不同球队的打法,会制定不同的战术。为战术,可以牺牲球员,为胜利,可以采取任何方法。我的体系可以容纳任何风格的球员,为了胜利和冠军可以排出FM最龟缩的阵型。 本帖分为七个方面来讲解我的战术手册 1、战术素养的培养 2、比赛风格、心态 3、查找对方的阵型弱点 4、阵型的基本原理 5、临场指挥原则 6、6-4体系的原理与运用 7、链式防守体系的原理与运用 只要做到以上7点,相信就算是执教莱万特这样的球队,也能走第一年联赛冠军,第二年欧冠冠军的节奏,到那时你会发现再弱小的球队,只要有一颗冠军的心,就和现实足球一样,任何奇迹都能发生!!!!!!! 一、战术素养的培养 这是很多玩家忽视的一个方面,但又是一名优秀的主教练必须具备的东西,FM 是一款足球经理人的模拟游戏,体验的是在现实中作为主教练在俱乐部中扮演的角色,成功的主教练都是相当注重细节的,同样的战术,有些人使用能赢,有些人使用却会输,很大的原因就是不注重细节,在现实足球中,穆里尼奥曾把对方每一名球员的特点和本队对位球员应注意的事项,写成一张张纸条贴在更衣室里,斯塔诺曾在对方每一名球员的战术特点和细节,制作成U盘分发给对位的每名球员,这就是细节,但在FM中我们需要做到的细节只是他们的十分之一不到,连这都做不到的话,那这个游戏你也很难真正的玩进去,很难成功的扮演主教练的角色,还不如去玩CF等即时性的游戏还过瘾一点。 这是非常重要的因素,在FM中玩家要做到的细节,主要有以下几点,这是我的经验: 1、客场的比赛我必须上我的全主力,想赢客场是必须的,为什么很多人抱怨FM 13的客场特别难打,就是因为没有注意细节,排阵容的过于随意,本来是完全针对的战术,但就是赢不了球,就是这个原因。必须保证首发的11名球员都是你队里最强的11人(轻伤、体力80以下可以不上),但主场就没那么硬性的要求了,在漫长的赛季中,要想多赢客客场,就要合理的分配体力,主场上替补轮换,全主力拼客场。 2、欧冠小组赛和淘汰赛等等,只要是半个赛季内碰两次的球队,我不会使用相同的战术,如果在首次交锋中你的球员赢了对方,那么AI会记录下你首次交锋中的套路,这是FM做的很真实的一个方面,第二次AI教练就会针对你第一次使用的战术套路进行防范,很多玩家不注意这个细节,首回合取得胜利后,次回合大败,我们需要怎么样做好这个细节呢,就是比方说首次交锋是针对了对方的弱点制定专门的针对性战术的话,那么次回合就以对方没弱点来制定战术。总而言

QuartusII入门详细教程实例讲解

Quartus II入门详细教程实例讲解 写在前面: 1.本教程适合以前没有接触过QuartusII开发软件的新手,本教程是基础的入门,后续的学习还得大家自己努力。 2.本教程非常详细手把手带大家入门,网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做。 3.本教程首先通过简单的仿真实验带大家入门。VHDL源代码会附在文档最后。 4.本教程使用Quartus II 9.1版本进行演示,其他版本的操作差别不是太大,也可以进行学习。 目录 一、Quartus II开发软件基本介绍 1.1 Quartus Ⅱ简介 Quartus Ⅱ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。 1.2 Quartus Ⅱ开发流程 使用Quartus II 软件进行开发的流程如图1.2.1所示。需注意的是,Quartus II还可以使用命令行模式的TCL批处理脚本进行自动流程控制。

图1.2.1 Quartus Ⅱ开发流程 二、用3-8译码器的设计介绍QuartusⅡ的基本使用方法(VHDL仿真) 1.1打开软件 双击桌面安装好的QuartusⅡ 9.1图标,打开软件,主页面如图1所示。 图1 在图1中,1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。2区为快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。3区为资源管理窗口。4区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当显示100%是表示编译或者综合通过。5区为工作区。6区为信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

PLC使用手册知识

PLC使用手册知识 1

目录 第一章前言 1.1 开箱检查注意事项 (2) 1.2 命名规则及型号说明 (2) 1.3 可编程控制器的铭牌 (4) 1.4 安全注意事项……….................................................................................................. .4 1.5 使用注意事项……….................................................................................................. .4 1.6 报废时注意事项 (5) 第二章系列型号与规格尺寸 2.1 EASY系列型 号 ....................................................................................................... ...5 2.2 技术指标及规 2

格........................................................................................................ ...5 2.3 外形结构尺 寸........................................................................................................ (6) 第三章产品的安装及配线 3.1 可编程控制器的安装 (7) 3.2 可编程控制器的配线 3.2.1端子排列与说明 (7) 3.2.2 电源配线要求 (8) 3.2.3 开关量输入特性及配线要求 (8) 3.2.4 开关量输出特性及配线要求 (9) 3.2.5 模拟量输入特性及配线要求 (11) 3.2.6 模拟量输出特性及配线要求 (11) 3

D5000 DTS安装手册

SG-OSS-PAC-安装手册- 调度员培训模拟(DTS) -v1.0 智能电网调度技术支持系统 安装手册 调度员培训模拟(DTS) 北京科东电力控制系统有限责任公司 2010年08月

修订历史记录(暂时保留,正式发布时去掉) 序号版本说明编制人\日期审核人\日期 <1.0> <正式稿拟定>袁启海、高平 \201008 李晓红、刘涛\201008

目录 关键词 (2) 摘要 (2) 1引言 (3) 1.1 目的 (3) 1.2 参考资料 (3) 1.3 术语和缩写词 (3) 2 操作系统安装 (4) 3 数据库安装 (4) 4 第三方软件安装 (4) 5 基础平台安装 (4) 5.1 准备工作 (4) 5.2 安装步骤 (4) 5.3 系统配置 (4) 5.4 验证与测试 (5) 6 调度员培训模拟(DTS)应用安装 (5) 6.1 准备工作 (5) 6.2 安装步骤 (5) 6.3 系统配置 (5) 6.4 验证与测试 (5)

关键词 平台安装、应用服务、DTS安装、人机安装。 摘要 本手册面向项目实施人员、系统维护人员介绍DTS的安装步骤与方法说明,主要包括对平台安装的要求、人机安装及DTS应用的安装说明。

1引言 1.1 目的 本手册面向项目实施人员、系统维护人员说明DTS的安装步骤与方法。 1.2 参考资料 本手册编写参考了以下智能电网调度技术支持系统相关规范与手册: ●SG-OSS-PAC-OG-v1.0-调度员培训模拟(DTS)-v1.0 ●SG-OSS-PAC-UHB-v1.0-调度员培训模拟(DTS)-v1.0 ●SG-OSS-PAC-技术手册-调度员培训模拟(DTS)-v1.0 ●SG-OSS-PC-PHB-FP-v1.0-调度员培训模拟(DTS)程序员手册-v1.0 ●(530-1)调度员培训模拟(DTS)应用功能规范 ●(530-1.2)调度员培训模拟(DTS)应用详细设计 1.3 术语和缩写词 1)调度员培训模拟DTS Dispatcher Training Simulator 调度员培训模拟是一套数字仿真系统,它运用计算机技术,通过建立实际电力系统的数学模型,再现各种调度操作和故障后的系统工况,并将这些信息送到电力系统控制中心的模型内,为调度员提供一个逼真的培训环境,以达到既不影响实际电力系统的运行而又使调度员得到身临其境的实战演练的目的。DTS是电网培训和研究分析的最佳工具。 2)教员台 教员使用的硬件和软件环境的总称, 包括教员对培训过程进行监视、控制和评价功能的软件模块。 3)学员台 学员使用的硬件和软件环境的总称,应尽可能与实际的控制中心环境一致。

Quartus_II简明教程

Quartus II简明教程 Altera公司的Quartus II设计软件是用来进行SOPC(System-on-a-programmable-chip)设计的综合的设计环境。本教程适用于Quartus II软件的新用户,介绍使用Quartus II软件的进行FPGA设计的基本方法。需要注意,本教程并不是Quartus II软件的详尽的参考手册。 本教程包含的主要内容: 1、典型的FPGA设计流程; 2、开始 3、新建project 4、设计输入 5、编译 6、引脚分配 7、仿真 8、编程、配置FPGA器件 9、板级调试

1、 典型的FPGA 设计流程 计算机辅助设计(Computer Aided Design ,CAD )软件的使用使得使用可编程逻辑器件(Programmable Logic Device ,PLD)器件(比如Field Programmable Gate Array ,FPGA)进行数字逻辑电路设计变得非常容易。使用CAD 软件进行FPGA 设计的典型流程如图1所示。 图1 FPGA 设计的典型设计流程 Quartus II 软件支持以上设计流程的所有阶段。本教程介绍Quartus II 软件的基本特征。 2、 开始 在Quartus II 软件中设计的每个电路或者子电路都叫做项目(Project )。Quartus II 软件每次只能打开一个Project ,并且一个Project 的所有信息都必须保存在同一个文件夹。为了开始一个新逻辑电路的设计,首先第一步就是新建一个文件夹来保存此Project 的文件。为了保存本教程的设计项目Project ,新建文件夹D:\introtutorial 。本教程运行的例子是一个简单两路开关控制电路。 启动Quartus II 软件,会打开如图2所示启动画面。启动画面中包含了使用Quartus II 软件所需要的

d系统常用命令及故障处理

D5000系统自动化运维手册第八部分 D5000系统常用命令 及故障处理

目录

D5000系统常用命令及故障处理 1 D5000系统常用命令 1、系统启动/停止 启动:服务器:sys_ctl start down 工作站:sys_ctl start fast 停止:sys_ctl stop 2、服务器/工作站远程重新启动或关机:用ssh命令远程登陆到服务器或工作站,在d5000用户下停止应用系统(sys_ctl stop),然后切换到root用户执行 shutdown – ry 0 或reboot(重新启动),shutdown – hy 0 (关机)。 3、启动总控台: sys_console & 4、查看系统各节点应用运行情况:/home/d5000/hebei/showservice 5、调用检索器程序:/home/d5000/hebei/bin/search 6、重启某进程:/home/d5000/hebei/kp 进程名;进程名 7、远程调阅某工作站图形: 在本机工作站上输入:xhost + 从终端远程登录想调阅图形的工作站:ssh xt-stnXX 在登录的工作站上输入:disp 调阅图形:GExplorer login 8、启动告警窗:iapi 9、遥控监护命令:sca_guard 10、通过终端窗口切换主备调:sys_switch_zd 切主调 sys_switch_bd 切备调 11、语音告警进程:alarm_client_ifly 12、挂载U盘:

1)打开终端,在root用户下,输入fdisk –l,在显示的信息中查看本U盘名称:例如/dev/sdc1 2)输入mount /dev/sdc1 /mnt,/mnt此时即为挂载上的U盘。 3)可进行复制剪切工作,在/mnt下输入cp 文件名拷贝路径 4)不用该挂载U盘时,一定要卸载下来,不能直接插拔,否则会造成工作站文件堆积,影响使用速度。 卸载U盘:打开终端,在root用户模式下,输入umount /mnt。卸载完毕后,查看/mnt目录下是否为空,为空则说明U盘卸载成功。 13、查看工作站运行在主调还是备调上: 打开终端窗口,输入conf回车,输入cat domain.sys回车,看工作站连接的各服务器是主调还是备调。

Quartus_II_9.0_使用初级教程

Quartus Ⅱ 9.0 使用教程(初级) Quartus Ⅱ 是Altera 公司推出的专业EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的EDA 工具进行初步的学习。使大家以后的数字系统设计更加容易上手。 第一步:打开软件 ● 快捷工具栏:提供设置(setting ),编译( compile )等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 ● 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 ● 编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当 显示100%是表示编译或者综合通过。 ● 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

第二步:新建工程(file>new Project Wizard ) 1 工程名称: 2添加已有文件(没有已有文件的直接跳过next )

3 选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯片) 4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None ,然后next )

5 工程建立完成(点finish) 第三步:添加文件(file>new> VHDL file),新建完成之后要先保存。

第四步:编写程序 3-8译码器的VHDL描述源文件如下: library ieee; use ieee.std_logic_1164.all; entity decoder3_8 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0)); end decoder3_8; architecture example_1 of decoder3_8 is signal sel:std_logic_vector(3 downto 0); begin sel<=A & EN; with sel select Y <= "11111110" when "0001", "11111101" when "0011", "11111011" when "0101", "11110111" when "0111", "11101111" when "1001", "11011111" when "1011",

尼康D5000完全使用指南

尼康D5000完全使用指南 一、尼康D5000简介 尼康D5000(配18-55mm镜头) 图库评测论 坛报价网购实价 佳能的入门级视频数码单反500D发布不久,作为全球首推可拍视频单反相机的尼康自然不甘落伍,全新系列 D5000发布,除了拥有D90一般的视频拍摄功能外,最引人瞩目的还是使用了翻转屏设计,为实时取景的拍摄方式带来了极大的便利。 点击进入尼康D5000样张库 尼康D5000是尼康首款带有旋转屏的单反相机,搭载2.7英寸23万像素显示屏,方便了LiveView实时取景功能的使用。升级后的CMOS传感器为1230万像素,实力与D90相当,而11点自动对焦的加入,无疑弥补了前辈D60三点对焦的弱项。而作为今年的新机,视频拍摄功能成为了尼康D5000的重头好戏,拥有D-Movie(数码短片)功能,能以24fps拍摄1280x720像素的短片,看点更加十足。 点击进入尼康D5000评测

然而作为尼康的入门级数码单反,尼康D5000同样不具备机身对焦马达,仅支持AF-S超声波尼克尔镜头的自动对焦功能,无疑成为了该新品的硬伤,从今年尼康发布的镜头来看,普及AF-S超声波对焦马达是整体趋势,随尼康 D5000一同发布的还有AF-S DX 10-24mm f/3.5-4.5G ED 镜头,AF-S镜头的大力推广,无疑为尼康D5000这类不具备机身对焦马达的数码单反助威。 二、尼康D5000基础使用指南 1、可翻转显示屏的使用 翻转屏在消费类DC中给人专业的形象,但往往牺牲了便携。而数码单反中采用翻转屏较多的还是奥林巴斯的机型(索尼的翻转屏只能上下翻转,不能左右旋转),尼康D5000可以说是一个尝试。D5000是尼康门内首款带翻转屏的单反机型,对于习惯使用尼康单反的用户有不少的地方是需要注意的。斜拍 侧拍自拍 俯拍仰拍 盖起来可以保护LCD

d5000系统使用手册-avcv3.1

智能电网调度技术支持系统 系统使用手册 之 地调自动电压控制 国电南瑞科技股份有限公司 二〇二〇年十月

前言 本手册对地区电网自动电压控制的使用和维护进行详细的介绍。适用于实时监控和网络运行分析相关应用人员,对系统维护人员也具有参考意义。希望对使用AVC系统者有所帮助。 本手册包括以下内容: AVC功能概述介绍 AVC运行监视 AVC基本操作 AVC模型维护 AVC参数设置 AVC测试投运 鉴于系统相应软件功能在不断更新,所以本手册也会随之做不定期相应更新和完善。

目录 第1章概述 (1) 主要功能 (1) 系统架构 (1) 专业术语 (2) 第2章运行监视 (4) 控制状态图监视 (4) 控制状态图操作 (5) 厂站开闭环切换 (5) 人工闭锁及解锁 (6) 详细信息查看 (7) 闭锁查看与解除 (9) 控制策略 (13) 控制过程信息 (15) 分区总览 (16) 分区切换 (17) 动作查询 (18) 分区拓扑展示 (19) 历史查询 (20) 报表统计 (22) 第3章系统维护 (23) 模型维护 (23) 建模前准备 (24) AVC模型更新 (27) 参数维护 (27) 全局参数 (28)

取数周期与次数 (29) 限值类型设置 (30) 电压限值设置 (30) 低限模式设置 (32) 无功限值设置 (33) 动作次数设置 (36) 并列档位维护 (37) 告警延时自动复归 (38) AVC遥控关系表维护 (38) 保护信号关联 (39) 绘制AVC控制状态图 (39) 测试投运 (40) 第4章常见问题(FAQ) (42) 附件1 AVC主要进程 (43) 附件2 AVC告警类型定义 (44)

相关主题
文本预览
相关文档 最新文档