当前位置:文档之家› 电子技术课程设计数电仿真

电子技术课程设计数电仿真

电子技术课程设计数电仿真
电子技术课程设计数电仿真

电子技术课程设计报告

——多功能数字时钟电路的设

计与仿真

姓名:

学号:

指导老师:

2013年6月27日

题目:多功能数字时钟电路的设计与仿真

1.任务

设计一个能够实现时、分、秒计时,整点报时,闹钟功能,具有校正时间功能的电路。

2.主要技术指标

①时间以24小时为一个周期;

②数值显示时、分、秒;

③有校时功能,可以分别对时及分进行单独校时,使其校正到标

准时间;

④具有整点报时功能,当时间到达整点前5秒进行蜂鸣报时;

⑤具有闹钟功能,当时间到达预设的时间进行蜂鸣闹铃;

⑥为了保证计时的稳定及准确须由石英晶体振荡器提供时间基准

信号。

3、电路形式

(1)电路分析及特点

电路分析:多功能数字时钟电路一般由中规模集成模块构成。在此次设计中包含秒脉冲发生器、时间显示、校准部分、整点报时、闹钟部分。秒脉冲发生器由谐振频率为32768Hz的石英晶体振荡器经过15位二进制分频得到;时间显示部分秒、分均为六十进制计数器,时显示为二十四进制计数器;校准部分由单刀双掷开关、校准信号、逻辑门控电路组成;整点报时由逻辑门控电路控制蜂鸣器来实现。闹钟部分由四片74LS85四位数值比较器构成。不过,由于在一张电路

图上布置的问题,在最终的电路图上并没有显示出来。

特点:秒脉冲发生器由石英晶体振荡器构成,具有精度高、稳定性好的优点。

(2)设计与分析

秒脉冲发生器由石英晶体振荡器和分频器构成。由于采用的石英晶体振荡器的谐振频率为32768Hz,因此需要通过15为的二进制计数器进行分频,才能得到1Hz的秒脉冲信号。

选用的中规模集成模块为74LS160—十进制计数器。

实现六十进制计数器需要两片74LS160芯片,采用反馈清零法来实现。因此,一片为十进制,一片为六进制(反馈状态为0110).

时计数器需要的是一个二十四进制转换的递增计数电路。个位和十位均应连接成十进制计数形式,并采用两片芯片同步级联的复位方式。由于74LS160为十进制计数器,因此当计数状态到达00100100时就要反馈置零。

整点报时由逻辑门控电路来实现。当分、秒显示为59分55秒~59分59秒时进行整点报时。

转换为二进制代码就是0101 1001 0101 0101~0101 1001 0101 1001这个区间,蜂鸣器发出响声。因此,可以用逻辑门来实现。

校准电路同样由逻辑门控电路来实现。

闹钟定时分为两部分,一部分是定时另一部分为报时。定时由开关来决定是高低电平表示二进制数;报时由74LS85四位比较器来构成。如果时间与设定时间相同,则与门输出高电平蜂鸣器工作。否则,蜂

鸣器不工作。

(3)电路仿真及调试

六十进制计数器:

六十进制的波形图

U5

DCD_HEX

二十四

进制计数

器:

U5

DCD_HEX

二十四进制输出波形

整点报时:当七输入的与门输出为高电平时,蜂鸣器工作发出“嘟嘟”声。

U3

校准电路:

校准电路中单刀单掷开关S1、S2分别为时分校正开关。不校正时S1和S2闭合,校正时时,S1闭合,拨动单刀双掷开关S5来进行调整显示;校正分同校正时操作一样。单刀双掷开关S4、S3为控制时分校正信号与基准信号的开关。当进行校正操作时,单刀双掷开关打向左侧,此时校正信号与计时器接通,可以进行校正操作。 秒脉冲发生器:

石英晶体的谐振频率为32768Hz ,经过左侧电路频率降为2Hz ,在经过二进制计数器进行分频就可以得到1Hz 的信号。

S3

S4U1

从图中可以看出电路的输出波形大致在1Hz,满足要求。完整的电路基本实现了多功能数字时钟的功能。

定时闹钟:

电路图可以对时分进行定时,能够准确报时。

元器件参数介绍:

石英晶体振荡器:精度高,稳定性好;

数码显示管:可以显示0~9之间的任意一个数字;

蜂鸣器:工作电压为5V,发声频率为1KHz;

逻辑门:与门、或门、非门;

74LS160:十进制计数器,从0000~1001为有效计数状态

74LS85:四位数值比较器

小结:

由于之前做过了模拟电路的设计,本以为数字电路的设计会简单一些,但事实上,数字电路的设计自己搞的也并不轻松。模拟电路的元器件很多需要自己计算得出理论结果来选取,而数字电路的元器件基本上是以模块的形式给出的,也就是只要将元器件以正确的方式连接起来就可以了。但在实际的操作过程中仍然遇到了较多的困难。

首先,就是六十进制、二十四进制计数器的设计。由于之前做设计用的是74LS161,数字电路书上和实验课上用到的也是74LS161,因此这次首先考虑的也是74LS161。在设计六十进制、二十四进制的过程中没有遇到问题。但后来考虑到74LS161为4位二进制计数器,而74LS160为十进制计数器,因此最后选用了74LS160芯片。选用74LS160后在设计六十进制计数器时,一片就可以直接使用。

整点报时电路设计的还是比较顺利的。我觉得主要是自己理解的比较简单,用的电路简单,精度不够高,但实现了报时的功能。至于,精度和准确度,就有待自己知识储备和设计能力的提高了。

校时电路的设计也遇到了困难,主要是自己对如何校时不理解,根本想不通如何对时分进行校时调整。因此,关于校时电路的部分是在参考有关Multisim设计书上的数字时钟电路来进行仿真测试的。经过分析,自己还是理解校时原理啦。

在这个多功能数字时钟电路中,秒脉冲发生器是最麻烦的部分。如果不考虑精度和准确度,由555定时器构成的多谐振荡器是最理想的选择,但是这个设计中要求精度和准确度,因此就把555定时器排除在外,必须选择石英晶体振荡器。而石英晶体振荡器的谐振频率有多个型号的,但是没有1Hz的,所以即使选用石英晶体振荡器也必须进行分频。本来选用谐振频率为32768Hz的石英晶体振荡器构成振荡电路,在经过15位的二进制计数器进行分频后,得到1Hz的秒脉冲信号。

15位二进制分频器由CMOS元器件库中的4060BD和D触发器构成。理论上,4060BD芯片可以对信号进行14位的二分频,进过D触发器就可以得到1Hz的信号。但是,在Multisim12.0软件中进行仿真时并未得到预期的效果。而4060BD芯片的使用也没有错误,在网上查找的电路图也和这个差不多,但是结果就是不对,因此我就放弃这种方法,改用了另外一种方法。这种方法虽然可以分频得到1Hz左右的脉冲信号,但是还是有一定的误差。至于4060BD芯片的使用以及如何解决这个问题就有待自己在以后的学习设计中琢磨实践了。

闹钟定时这个功能的实现需要用到比较器。我采用的是四位数值比较器74LS85。但是,如何预定时间却让我犯了难。起初,考虑用74LS138译码器,但是译码器的输入端如何输入要设置的时间也是个问题。后来,仔细想了一下,根本不需要用译码器。考虑到二进制数的特点,可以用高低电平来表示二进制数,因此就可用开关控制电路的导通与否,间接的来表示二进制数。通过开关来进行预定时间的设置。除了

需要将十进制的时间转化为二进制数比较麻烦,其他的还是很简单的。

通过数字电路的设计,我发现如果直接进行电路的连接会比较麻烦,而且电路图很复杂,也不美观,不易于理解电路图。而Multisim中提供了电路子模块的功能,可以将一部分电路以模块的形式放入总电路图中,只需要留出接线的端口即可。但是,自己对这个操作并不是特别熟悉,这就需要在以后的学习和设计中不断熟悉软件的功能。

在设计的过程中,更加觉得自己专业知识的不牢固和缺乏。虽然学过了数电课程,在实验课程中也做过数电的实验,但是真正让自己去设计一个电路时,还是会出现这样那样的问题。一方面是自己知识的欠缺,思维不够开阔,另一方面自己对所学过的知识根本就没有完全消化吸收,不能做到灵活运用,仅仅局限于书本上的例子。多功能数字时钟中所用到的电路芯片以及电路结构都是老师课堂上所讲过的。但是,自己照葫芦画瓢却不能搞好,不得不说自己学到的东西完全还不是自己的。以后的学习过程中,自己要学会将知识活学活用,真正的融会贯通。自己的思维也要开阔,不能囿于书本上的例子和自己想当然的想法。要经过思考,分析在进行实际的操作。

通过这么一次仿真设计自己的收获还是很大的。自己认识到了自己的不足,清醒地看到了自己距离优秀的差距。可能自己在数电的考试考得不错,但自己也要知道考试的题目毕竟是没有发散思维的题目。要真正学好,需要自己在课后花时间去操作和实践。

这是用子模块画出的电路图,还是比较凌乱。所以,下面要做的就是进一步熟练软件功能,合理布局,是电路图看起来美观易于理解。

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

模电仿真实验 共射极单管放大器

仿真实验报告册 仿真实验课程名称:模拟电子技术实验仿真仿真实验项目名称:共射极单管放大器 仿真类型(填■):(基础■、综合□、设计□) 院系:专业班级: 姓名:学号: 指导老师:完成时间: 成绩:

一、实验目的 (1)掌握放大器静态工作点的调试方法,熟悉静态工作点对放大器性能的影响。 (2)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 (3)熟悉低频电子线路实验设备,进一步掌握常用电子仪器的使用方法。 二、实验设备及材料 函数信号发生器、双踪示波器、交流毫伏表、万用表、直流稳压电源、实验电路板。 三、实验原理 电阻分压式共射极单管放大器电路如图所示。它的偏置电路采用(R W +R 1)和R 2组成的分压电路,发射极接有电阻R 4(R E ),稳定放大器的静态工作点。在放大器的输入端加入输入微小的正弦信号U i ,经过放大在输出端即有与U i 相位相反,幅值被放大了的输出信号U o ,从而实现了电压放大。 在图电路中,当流过偏置电阻R 1和R 2的电流远大于晶体管T 的基极电流I B 时(一般5~10倍),则它的静态工作点可用下式进行估算(其中U CC 为电源电压): CC 21W 2 BQ ≈ U R R R R U ++ (3-2-1) C 4 BE B EQ ≈I R U U I -= (3-2-2) )(43C CC CEQ R R I U U +=- (3-2-3) 电压放大倍数 be L 3u ||=r R R β A - (3-2-4) 输入电阻 be 21W i ||||)(r R R R R += (3-2-5) 图 共射极单管放大器

数字电路课程设计——多功能数字钟

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多功能数字钟的设计仿真与制作 初始条件: 利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 错误!未找到引用源。具有60进制和24进制(或12进制)计数功能,秒、分为60进制 计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2009 年6 月20~22 日,查阅相关资料,学习设计原理。 2、2009 年6 月23~24 日,方案选择和电路设计仿真。 3、2009 年6 月25~27 日,电路调试和设计说明书撰写。 4、2009 年6 月28 日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (3) 2.Proteus软件介绍 (4) 3.总体方案的设计与实现 (6) 3.1 数字钟的原理框图 (6) 3.2 各模块功能分析 (6) 3.2.1晶体振荡器 (6) 3.2.2分频器 (7) 3.2.3时间计数单元 (8) 3.2.4译码驱动及显示单元 (10) 3.2.5校时电源电路 (10) 3.2.6整点报时电路 (11) 4.数字钟的安装与调试 (12) 5.数字钟的工作状态分析 (13) 5.1数字钟的工作过程及结果分析 (13) 5.2数字钟工作过程中出现的问题及解决方法 (13) 6.元件清单 (15) 7.数字钟仿真图 (16) 8.心得体会 (17) 9.参考文献 (18) 10.课程设计成绩评定表 (19)

电子技术课程设计

电子技术课程设计PWM调制解调器 班级:电信1301 姓名:曹剑钰 学号:3130503028

一、设计任务与要求 1.要求 设计一款PWM(脉冲宽度调制)电路,利用一可调直流电压调制矩形波脉冲宽度(占空比)。 信号频率10kHz; 占空比调制范围10%~90%; 设计一款PWM解调电路,利用50Hz低频正弦信号接入调制电路,调制信号输入解调电路,输入与原始信号等比例正弦波。 2.提高要求: 设计一50Hz正弦波振荡电路进行PWM调制。 3.限制: 不得使用理想运放、二极管、三极管、场效应管; 基本要求的输入电压使用固定恒压源接自行设计的电路实现可调; 同步方波不得利用信号发生器等软件提供设备产生。 二、总体方案设计 1.脉宽调制方案: 方案一:三角波脉宽调制,三角波电路波形可以由积分电路实现,把方波电压作为积分电路的输入电压,经过积分电路之后就形成三角波,再通过电压比较器与可调直流电压进行比较,通过调节直流电源来调制脉宽。 方案二:锯齿波脉宽调制,锯齿波采用定时器NE555接成无稳态多谐振荡器,和方案一相似,利用直流电压源比较大小调节方波脉宽。 方案三:利用PC机接口控制脉宽调制的PWM电路。 比较:方案一结构简单,思路清晰,容易实现,元器件常用 方案二与方案一相似,缺点是调整脉冲宽度不如方案一 方案三元器件先进,思路不如方案一清晰简单,最好先择了方案一 2.正弦波产生方案: 方案一:RC正弦波振荡电路。 RC正弦波振荡电路一般用来产生1Hz--10MHz范围内的低频信号,由RC 串并联网络组成,也称为文氏桥振荡电路,串并联在此作为选频和反馈网络。电路的振荡频率为f=1/2πRC,为了产生振荡,要求电路满足自激震荡条件,振荡器在某一频率振荡的条件为:AF=1.该电路主要用来产生低频信号。

模电仿真实验报告。

模拟电路仿真实验报告 张斌杰生物医学工程141班 MUltiSim软件使用 一、实验目的 1、掌握MUltiSim软件的基本操作和分析方法。 二、实验内容 1、场效应管放大电路设计与仿真 2、仪器放大器设计与仿真 3、逻辑电平信号检测电路设计与仿真 4、三极管Beta值分选电路设计与仿真 5、宽带放大电路设计与仿真 三、MUItiSim软件介绍 MUItiSim是美国国家仪器(NI)有限公司推出的以WindOWS为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用MUItiSinl交互式地搭建电路原理图,并对电路进行仿真。MUltiSiIn提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPlCE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过MUItiSiIn和,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到和测试这样一个完整的综合设计流程。 实验名称:

仪器放大器设计与仿真 二、实验目的 1、 掌握仪器放大器的设计方法 2、 理解仪器放大器对共模信号的抑制能力 3、 熟悉仪器放大器的调试功能 4、 掌握虚拟仪器库中关于测试模拟电路仪器的使用方法,如示波器,毫伏 表信 号发生器等虚拟仪器的使用 三、设计实验电路图: 四、测量实验结果: 出为差模放大为399mvo 五、实验心得: 应用MUIti S im 首先要准备好器件的PSPiCe 模型,这是最重要的,没有这个 东西免谈,当然SPiCe 高手除外。下面就可以利用MUItiSinl 的元件向导功 能制作 差模分别输入信号InW 第二条线与第三条线: 共模输入2mv 的的电压,输出为2mv 的电压。 第一条线输

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数字电路仿真实验报告

数字逻辑与CPU 仿真实验报告 姓名: 班级: 学号:

仿真实验 摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。 一、组合逻辑电路的分析与设计 1、实验目的 (1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。 (2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。 (3)熟悉字信号发生器、逻辑分析仪的使用方法。 2、实验内容和步骤 (1)采用逻辑分析仪进行四舍五入电路的设计 ①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。 ②在仪表工具栏中跳出逻辑变换器XLC1。 图1-1 逻辑变换器以及其面板 ③双击图标XLC1,其出现面板如图1-1所示 ④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依 次得到0、1、x状态)。 ⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、 电路图及非门实现的逻辑电路。 ⑥记录不同的转换结果。

(2)分析图1-2所示代码转换电路的逻辑功能 ①运行Multisim,新建一个电路文件,保存为代码转换电路。 ②从元器件库中选取所需元器件,放置在电路工作区。 ?从TTL工具栏选取74LS83D放置在电路图编辑窗口中。 ?从Source库取电源Vcc和数字地。 ?从Indictors库选取字符显示器。 ?从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设 置改为A。后面同理,分别改为B、C、D。 图1-2 代码转换电路 ③将元件连接成图1-2所示的电路。 ④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填 入表1-1中。 ⑤说明该电路的逻辑功能。 表1-1 代码转换电路输入输出对应表

电子技术课程设计的基本方法和步骤模板

电子技术课程设计的基本方法和步骤

电子技术课程设计的基本方法和步骤 一、明确电子系统的设计任务 对系统的设计任务进行具体分析, 充分了解系统的性能、指标及要求, 明确系统应完成的任务。 二、总体方案的设计与选择 1、查阅文献, 根据掌握的资料和已有条件, 完成方案原理的构想; 2、提出多种原理方案 3、原理方案的比较、选择与确定 4、将系统任务的分解成若干个单元电路, 并画出整机原理框图, 完成系统的功能设计。 三、单元电路的设计、参数计算与器件选择 1、单元电路设计 每个单元电路设计前都需明确本单元电路的任务, 详细拟订出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式。具体设计时, 能够模拟成熟的先进电路, 也能够进行创新和改进, 但都必须保证性能要求。而且, 不但单元电路本身要求设计合理, 各单元电路间也要相互配合, 注意各部分的输入信号、输出信号和控制信号的关系。 2、参数计算 为保证单元电路达到功能指标要求, 就需要用电子技术知识对参数进行计算, 例如放大电路中各电阻值、放大倍数、振荡器中电阻、电容、振荡频率等参数。只有很好地理解电路的工作原理, 正确利用计算公式, 计算的参数才能满足设计要求。 参数计算时, 同一个电路可能有几组数据, 注意选择一组能完成

电路设计功能、在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流、电压、频率和功耗等参数应能满足电路指标的要求。 (2)元器件的极限必须留有足够的裕量, 一般应大于额定值的 1.5倍。 (3)电阻和电容的参数应选计算值附近的标称值。 3、器件选择 ( 1) 阻容元件的选择 电阻和电容种类很多, 正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高, 例如滤波电路中常见大容量( 100~3000uF) 铝电解电容, 为滤掉高频一般还需并联小容量( 0.01~0.1uF) 瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗、容量、频率和耐压范围是否满足要求。 ( 2) 分立元件的选择 分立元件包括二极管、晶体三极管、场效应管、光电二极管、晶闸管等。根据其用途分别进行选择。选择的器件类型不同, 注意事项也不同。 ( 3) 集成电路的选择 由于集成电路能够实现很多单元电路甚至整机电路的功能, 因此选用集成电路设计单元电路和总体电路既方便又灵活, 它不但使系统体积缩小, 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎。选用的集成电路不但要在功能和特性上实现设计方案, 而且要满足功耗、电压、速度、价格等方面要求。 4、注意单元电路之间的级联设计, 单元电路之间电气性能的 相互匹配问题, 信号的耦合方式

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数电仿真实验报告

数字电子技术仿真 实验报告 班级: 姓名: 学号:

实验一组合逻辑电路设计与分析 一、实验目的 1.掌握组合逻辑电路的特点; 2.利用逻辑转换仪对组合逻辑电路进行分析与设计。 二、实验原理 组合逻辑电路是一种重要的、也是基本的数字逻辑电路,其特点是:任意时刻电路的输出仅取决于同一时刻输入信号的取值组合。 对于给定的逻辑电路图,我们可以先由此推导出逻辑表达式,化简后,由所得最简表达式列出真值表,在此基础上分析确定电路的功能,这也即是逻辑电路的分析过程。 对于组合逻辑电路的设计,一般遵循下面原则,由所给题目抽象出便于分析设计的问题,通过这些问题,分析推导出真值表,由此归纳出其逻辑表达式,再对其化简变换,最终得到所需逻辑图,完成了组合逻辑电路的设计过程。 逻辑转换仪是在Multisim软件中常用的数字逻辑电路设计和分析的仪器,使用方便、简洁。 三、实验电路及步骤 1.利用逻辑转换仪对已知逻辑电路进行分析。 (1)按图1-1连接电路。 图1-1 待分析的逻辑电路 (2)通过逻辑转换仪,得到下图1-2所示结果。 由图可看到,所得表达式为:输出为Y, D'+ABCD CD'+ABC' AB' + D C' BCD'+AB' A' + D BC' A'+ CD B' D'+A' C' B' A' Y

图1-5 经分析得到的真值表和表达式 (3)分析电路。观察真值表,我们发现:当输入变量A、B、C、D中1的个数为奇数时,输出为0;当其为偶数时,输出为1。因此,我们说,这是一个四输入的奇偶校验电路。 2.根据要求,利用逻辑转换仪进行逻辑电路的设计。 问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火灾推测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才会产生报警控制信号,试设计报警控制信号的电路。 具体步骤如下: (1)分析问题:探测器发出的火灾探测信号有两种情况,一是有火灾报警(可用“1”表示),一是没有火灾报警(可用“0”来表示),当有两种或两种以上报警器发出报警时,我们定义此时确有警报情况(用“1”表示),其余以“0”表示。由此,借助于逻辑转换仪面板,我们绘出如图1-3所示真值表。 图1-3 经分析得到的真值表

电子技术课程设计

电子技术 课程设计 成绩评定表 设计课题:串联型连续可调直流稳压正电源电路学院名称: 专业班级: 学生姓名: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:串联型连续可调直流稳压正电源电路专业班级: 学生姓名: 学号: 指导教师: 课程设计地点:31-225 课程设计时间:2014-7-7~2014-7-14

电子技术课程设计任务书

目录 前言 (5) 1串联型连续可调直流稳压正电源 (5)

1.1 设计方案 (5) 1.2 设计所需要元件 (7) 2 设计原理 (8) 2.1 电源变压部分 (9) 2.2 桥式整流电路部分 (10) 2.3 电容滤波电路部分 (11) 2.4 直流稳压电路部分 (12) 2.5 原理及计算 (14) 3 电路仿真 (15) 4 电路连接测试 (16) 4.1使用仪器 (16) 4.2.测试结果 (16) 5 设计体会 (17) 参考文献 (19) 串联型连续可调直流稳压正电源电路 引言 随着社会的发展,科学技术的不断进步,对电子产品的性能要求也更高。我们做为21世纪的一名学电子的大学生,不仅要将理论知识学

会,更应该将其应用与我们的日常生活中去,使理论与实践很好的结合起来。电子课程设计是电子技术学习中的一个非常重要的实践环节,能够真正体现我们是否完全吸收了所学的知识。 目前,各种直流电源产品充斥着市场,电源技术已经比较成熟。然而,基于成本的考虑,对于电源性能要求不是很高的场合,可采用带有过流保护的集成稳压电路,同样能满足产品的要求。 本次设计的题目为设计一串联型可调直流稳压正电源:先是经过家用交流电源流过变压器得到一个大约十五伏的电压U1,然后U1经过一个桥堆进行整流在桥堆的输出端加两个电容C1、C2进行滤波,滤波后再通过LM7812(具体参数参照手册)输出一个固定的12V电压,这样就可以在一路输出固定的电压。在LM7812的输出端加一个电阻R3,调整端加一个固定电阻R1和一电位器R2,这样输出的电压就可以在5~12V范围内可调。 经过自己对试验原理的全面贯彻,以及相关技术的掌握,和反复的调试,经过自己的不断的努力,老师的耐心的指导,终于把这个串联型输出直流稳压输出正电源电路设计出来了。 1串联型连续可调直流稳压正电源 1.1 设计方案 本电路由四部分组成:变压电路、整流电路、滤波电路、稳压电路。 (1)变压电路:本电路使用的降压电路是单相交流变压器,选用电压和功率依照后级电路的设计需求而定。 (2)整流电路:整流电路的主要作用是把经过变压器降压后的交流电通过整流变成单个方向的直流电。但是这种直流电的幅值变化很大。它主要是通过二极管的截止和导通来实现的。常见的整流电路主要有半波整流电路、桥式整流电路等。我们选取桥式整流电路实现设计中的整流功能。 (3)半波整流:

模电仿真实验1

实验1:EWB仿真软件练习 ——晶体三极管放大电路特性研究 一、实验内容 1. 创建如图1.1所示的实验电路,并为元器件标识,参数设置。 2. 测量静态工作点I BQ、I CQ、U CEQ,用示波器测量电压放大倍数U A,用波特图仪测量频率特性,测量通频带BW。 3. 调节Rp1、Rp2 ,用示波器观察因工作点的改变而引起的输出波形失真。重新调节Rp1、Rp2恢复原值,使波形失真消除。 4.利用参数扫描功能,分析Co从0.1μF到100μF变化时对f1的影响。 二、仿真实验 1. 创建电路, 给电路中的全部元器件按图要求标识,参数设置,然后单击Circuit/Schematic Options出现对话框,在“Display”选项框内,勾选“Show Notes”,这时EWB 自动给各节点编号,并显示在电路图上。 图1.1 晶体三极管放大电路特性研究实验电路 2. 给虚拟仪器设置参数 电压表 Mode:DC Resistance:100MΩ(考虑三级管输入电阻较高,为减小误差取高内阻)

电流表 Mode:DC Resistance:取默认值1nΩ 函数发生器 波形:正弦波 Frequency:1KHz Duty cycle:50% Amplitude:50mV Offset:0 示波器 Time base:0.50ms/div “X/T”显示方式 Channel A:50mV/div y position:0.00 “AC”工作方式 Channel B:500mV/div y position:0.00 “AC”工作方式 Trigger:“Auto”方式 Channel A 输入线设为黑色,Channel B输入线设为红色,则输入信号波形为黑色,输出信号波形为红色。 波特图仪 幅频特性 Vertical: log F:60dB I:0dB Horizontal: log F:1GHz I:1Hz 相频特性 Vertical: log F:360度 I: -360度 Horizontal: log F: 1GHz I: 1Hz 3. 单击“O/I”开关,运行电路,再单击“Parse”按钮,暂停运行。 ⑴. 从电压表、电流表读出静态工作点的值为: I B=19.76μA I C=2.064mA V CE=V C-V E=9.940V-1.102V=8.838V ⑵. 双击示波器图标,打开示波器面板,单击“Expand“扩展面板,观察到波形如图1.2,拖拽读数指针,测得: U A=V OP—P / V IP—P =-1.3674V / 98.196mV=-13.9 图1.2 输入输出电压波形

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

proteus数电仿真电路应用

p r o t e u s数电仿真电路 应用 SANY标准化小组 #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

实验9 555定时器应用电路设计 一、实验目的: 1.了解555定时器的工作原理。 2.学会分析555电路所构成的几种应用电路工作原理。 3.熟悉掌握EDA软件工具Multisim的设计仿真测试应用。 二、实验设备及材料: 仿真计算机及软件Proteus 。 附:集成电路555管脚排列图 三、实验原理: 555电路是一种常见的集模拟与数字功能于一体的集成电路。只要适当配接少量 的元件,即可构成时基振荡、单稳触发等脉冲产生和变换的电路,其内部原理图如图 1所示,其中(1)脚接地,(2)脚触发输入,(3)脚输出,(4)脚复位,(5)脚控制电压, (6)脚阈值输入,(7)脚放电端,(8)脚电源。 图1 555集成电路功能如表1所示。 表1: 注:1.(5)脚通过小电容接地。 2.*栏对CMOS 555电路略有不同。 图2是555振荡电路,从理论上我们可以得出: 振荡周期: C R R T ?+=)2(7.021 (1) 高电平宽度: C R R t W ?+=)(7.021 ..........................…….....2 占空比: q =2 1212R R R R ++............................................…......3 图2 图3 图3为555单稳触发电路,我们可以得出(3)脚输出高电平宽度为: RC t W 1.1= (4) 四、计算机仿真实验内容及步骤、结果: 1. 时基振荡发生器: (1). 单击电子仿真软Proteus 基本界面左侧左列真实元件工具条按钮,然后 点击图4中所示的P 按钮,会弹出图5所示的对话框,在对话框keywords 中输入 ne555就可以找到555器件了 图4 图5 低* × × 低 导通

电子技术课程设计

摘要 本次课程设计彩灯控制器是对模拟电子技术、数字电子技术的实践性的应用。该彩灯设计主要由几个器件构成,分别是移位寄存器、计数脉冲、分频器、数据选择器等器件。通过着几个主要器件来实现对彩灯的设计和控制。彩灯的设计主要有三部分组成。即时钟脉冲产生电路模块、彩灯开关控制模块以及花样输出电路模块。其中时钟脉冲由555定时器构成的多谐振荡器产生。彩灯开关电路设计模块应用数据选择器74LS163。花样输出由移位寄存器74LS194和发光二极管组成。为了验证设计的准确性,我们在Proteus环境下进行仿真和调试。通过验证进一步确定其设计的可行性。 关键词:彩灯;时钟脉冲产生电路模块;彩灯开关控制;花样输出电路

目录 摘要.............................................................................................................I 1 前言 (1) 1.1 序言 (1) 1.2目前彩灯的应用情 (1) 1.3主要工作概述 (1) 2 总体方案设计 (3) 2.1方案比较 (3) 2.2方案论证 (4) 2.3方案选择 (4) 3 单元电路设计 (5) 3.1时钟信号发生器 (5) 3.2 序列信号发生 (7) 3.3 移位输出显示电路 (11) 4 调试与试验 (14) 4.1 Proteus软件介绍 (14) 5 proteus仿真图 (15) 6致谢和心得体会 (16) 参考文献 (17)

1前言 1.1 序言 集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用规模集成电路,不仅可以减少电路组件的数目,使电路简洁,而且能提高电路的可靠性,降低成本。因此,用集成电路来实现更多更复杂的器件功能则成为必然。随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观,小型的彩灯多采用霓虹灯电路。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,也可以做成各种各样和多种色彩的灯管或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,常采用长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。本文所要设计的彩灯是用八个发光二极管代替的,能通过外部开关的操作,来实现彩灯亮点的左移、右移、全亮、全灭的效果。因此其会在越来越多的场合中使用,这使本设计具有很大的现实意义。这种控制电路可靠性,灵活性高,使用范围广,特别适合中小城市的交通灯、霓虹灯等的应用。而且,它对其他类似系统的开发具有一定的借鉴意义。 1.2目前彩灯的应用情况 LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。彩灯广泛应用于流水灯、跑马灯、鸳鸯戏水灯、流水灯、控制功能,并给出了具体的硬件电路和相应的程序。此课题设计具有很大现实意义,LED彩灯广泛应用于商业街广告灯,也可作为歌厅、酒吧照明等。 1.3主要工作概述 本文所要设计的八路彩灯的功能要求是通过手动开关操作,实现彩灯的两亮两灭

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

数电仿真实验报告

实验一:组合逻辑电路设计与分析 一、实验目的 (1)掌握组合逻辑电路的特点; (2)利用组合逻辑转换仪对组合逻辑电路进行分析。 二、实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻的输入信号的取值组合。根据电路的特定功能,分析组合逻辑电路的过程。 三、实验电路及步骤 (1)利用逻辑转换仪对已知电路进行分析 实验连接图如下: U1A 74LS136D U1B 74LS136D U1C 74LS136D U2A 74LS04D U2B 74LS04D U2C 74LS04D XLC1 A B 真值表和逻辑表达式如下: (2)根据要求利用逻辑转换仪进行逻辑电路分析。 问题的提出:火灾报警器只有在烟感、温感和紫外线三种不同类型的火灾探测器中两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号。

四、思考题 (1)设计一个四人表决电路。如果3人或者3人以上同意,则通过;反之,则被否决。用与非门实现。 (2)利用逻辑转换仪对下图所示逻辑电路进行分析 五、实验体会

实验二:编码器、译码器电路仿真实验 一、 实验目的 (1)掌握编码器、译码器的工作原理。 (2)常见编码器、译码器的作用。 二、 实验原理 数字信号不仅可以用来表示数,还可以用来表示各种指令和信息。通过编码和译码来实现。 (1)编码是指在选定的一系列二进制数码中,赋予每个二进制数码以某一固定含义。能完成编码功能的电路统称为编码器。 (2)译码是编码的逆过程,将输入的每个二进制代码赋予的含义翻译出来,给出相应的输出信号。 U1 74LS148D A 0 9 A 17A 26G S 14 D 313D 41D 52D 212D 111D 0 10 D 74D 63 E I 5E O 15 U2 74LS138D Y 0 15 Y 114Y 213Y 312Y 411Y 510Y 69Y 77A 1 B 2 C 3G 1 6~G 2A 4~G 2B 5 图2-1 编码器74LS148D 和译码器74LS138D 三、实验电路 (1)8-3线优先编码器 实验电路图如下:

电子技术课程设计报告

电子技术课程设计报告 班级: 姓名: 学号: 指导教师:

目录 一、设计目的 二、设计要求 三、设计框图及整机概述 四、各单元电路的设计及仿真 1、检测电路 2、放大电路 3、滤波电路 4、整形电路 5、定时电路 6、计数、译码、显示电路 五、电路装配、调试与结果分析 六、设计、装配及调试中的体会 七、附录(包括整机逻辑电路图和元 器件清单) 八、参考文献 一、设计目的

巩固和加深在"模拟电子技术基础"和"数字电子技术基础"课程中所学的理论知识和实训技能,基本掌握常用电子电路的一般设计方法,并通过这一实训课程,能让学生对电子产品设计的过程有一个初步的了解,使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 二、设计要求 掌握整机电路组成及工作原理,并能运用所学过的电路知识分析、解决电路制作过程中所遇到的问题。 三、设计框图及整机概述 图1 红外线心率计的原理框图 红外线心率计就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。但手指中的毛细血管的波动是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这是红外线心率计的设计关键所在。整机电路由放大电路、整形电路、滤波电路、3位计数器电路,译码、驱动、显示电路等几部分组成。 四、各单元电路的设计及仿真 1、检测电路 血液波动检测电路首先通过红外光电传感器把血液中波动的成分检测出来,然后通过电容器耦合到放大器的输入端。如图4所示。 图4 血液波动检测电路 2.放大电路

3、滤波电路

由三脚输入信号,六脚输出信号 4、整形电路

数字电子技术课程设计报告

课程设计 课程名称数字电子技术课程设计题目名称四人智力竞赛抢答器学生学院 专业班级 学号 学生姓名 指导教师 200年月日

目录 一、设计题目 (3) 二、设计任务和要求 (3) 1.设计任务 (3) 2.设计要求 (3) 三、原理电路 (3) 1.以锁存器为中心的编码显示电路 (4) 2.脉冲产生电路 (5) 3.倒计时显示电路 (5) 4.音响电路 (6) 5.整体电路 (7) 四、电路调试过程及结果 (7) 五、总结 (8) 六、心得体会 (8) 七、参考文献 (9)

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9” 倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应, 也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的 开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手 编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时, 定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持 续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的 CP信号。 三、原理电路 电路主要由脉冲产生电路、锁存电路、编码及译码显示电路、倒计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上同时产生音响。主持人宣布开始抢答时,倒计时电路启动由9计到0,如有选手抢答,倒计时停止。电路系统结构如图13:

相关主题
文本预览
相关文档 最新文档