当前位置:文档之家› 单片机课程设计篮球计时计分器正文

单片机课程设计篮球计时计分器正文

单片机课程设计篮球计时计分器正文
单片机课程设计篮球计时计分器正文

基于单片机的篮球赛计时计分器的设计

一系统设计方案

1.1 设计题目

篮球计时计分器

1.2 系统功能要求

本系统可实现功能如下:

(1)主控部分:选择单片机为核心元件构成系统。

(2)计时部分:能记录整个赛程的比赛时间,并能修改时间、暂停时间。

(3)计分部分:能随时刷新甲、乙两队在整个赛程中的比分。

(4)中场交换比赛场地时,能交换甲、乙两队比分的位置。

(5)比赛时间结束时,能发出报警指令。

1.3 系统总体方案设计

本设计由AT89C51编程控制LED七段数码管作球赛计时计分系统具有赛程定时设置、赛程时间暂停、性能稳定、操作方便且易携带等特点。

1.3.1系统设计方案论证

本设计是基于89C52单片机的键盘控制及显示电路设计,从系统的设计功能上看,系统可分为两大部分,即键盘输入控制部分和显示部分,对于每一个部分都有不同的设计方案,起初我拟订了下面两种方案:

第一种方案:

键盘控制采用矩阵扫描键盘,可以用普通按键构成4×4矩阵键盘,直接接到89C51单片机的P0口,高四位作为行,低四位作为列,通过软件完成键盘的扫描和定位。显示部分采用动态显示,采用移位寄存器74LS164和译码器74LS138通过显示驱动程序驱动七段数码管显示。此方案成本低,所用到的两个外围芯片价格都很低廉,而且单片机的I/O口占用较少,可以节约单片机接口资源。

第二种方案:

键盘控制采用独立是式键盘,每个按键的"接零端"均接地,每个按键的"测

试端"各接一条输入线,通过检测输入线的电平状态就可以很容易地判断哪个键被按下了,这种方法操作速度高而且软件结构很简单。这种方法比较适合按键较少或操作速度较高的场合。显示部分采用静态显示方法,所谓静态显示,就是每一个显示器都要占用单独的具有锁存功能的接口用于笔划段字形代码。这样单片机只要把要显示的字形代码发送到接口电路,就不用管它了,直到要显示新的数据时,再发送新的字形码,因此,使用这种方法单片机中CPU 的开销小。 方案的确定:

本设计要求按键较多,且本次设计只是对所学知识的一次实践,设计要求简单,容易实现,成本低。比较以上两中设计方案,第二种成本低,占用单片机资源少,且容易实现,这样的设计比较适合本次设计,故选用第二种设计方案。 1.3.2系统构成框图

基于AT89S52单片机的篮球计时计分器的系统框图如图1所示:

图1系统框图

本系统采用单片机AT89C51作为本设计的核心元件,利用七段共阴极LED 数码管作为显示器件。在此设计中共接入8个七段共阴极LED 显示器,其中4个用于计录甲、乙两队的分数,每队2个LED 显示器显示范围可达到0~99分,足够满足赛程要求;另外4个LED 显示器则用来计录赛程的时间,其中2个用于显示分钟,2个用于显示秒钟。赛程计时采用倒计时方式。即比赛前将时间设置好,比赛开始时启动计时,直到计时到零为至。计时范围可达0~99分钟,也完全满足实际赛程的需要。

其次,为了配合计时器和计分器校正、调整时间和比分,我们在设计中设立

分数显示

定时报警

时间设定

时间显示

单 片 机 控 制 系 统

加分设定

场地交换 开始暂停

了10个按键。其中6个用于甲、乙两队的分数;另外4个则用于完成设置、调整、启动和暂停时间等功能。

1.3.3 基本功能介绍

(1)赛程时间设置

在计时电路中,先按EXCHANGE-TIME键,转换到调时模式,再按键开关K1、K6用来设置时间。启动时,初始时间为12分钟。比如:当比赛时上半场时间为20分,则通过按K1键加分钟,直到显示20。当想向下调时间可按k6键减时间。时间设置好后,等待比赛开始。

当比赛结束时,如果需增加比赛时间,这时增加比赛时间同样由按键开关K1、K6用来设置,且方法和上面一样。

(2)赛程时间启/停设置

在比赛要开始的时候按RUN/STOP键可开始计时,当中途要暂停时可按再按一次该键暂停。

(3)比分交换控制

比分交换控制由EXCHANGE键完成。我们知道,因为比分交换是在上半场赛程结束后进行的,此时只需要按该键则两队的分数会交换,比如上半场的比分为10:14,按了EXCHANGE键后,则LED分数显示为14:10。

(4)比分刷新控制

由于在比赛中,甲、乙两队的比分是不断变化的,所以需设置比分刷新控制装置;此功能由图3所示的计分电路中的按键开关K1~K6完成:

K1键: 完成TEAM1队加1分操作;

K2键: 完成TEAM1队加2分操作;

K3键: 完成TEAM1队加3分操作;

K4键: 完成TEAM2队加1分操作;

K5键: 完成TEAM2队加2分操作;

K6键: 完成TEAM2队加3分操作。

(5)计分计时显示

计分计时显示器是采用七段共阴LED数码管显示。其中计时是用4个LED显示器,计分用4个LED显示器;计时显示格式为00,计分显示格式为00.00 。

(6)赛程结束报警

当比赛结束时,系统会自动报警声,提示比赛结束。

1.3.4篮球计时计分器的工作过程

整个篮球比赛计时计分器的工作过程如下:首先在比赛之前,接通电源,系统自动复位,此时计时电路与计分电路中的共阴极数码管显示为0000和12.00;然后我们按计时电路中的EXCHANGE-TIME键,k1键,k6键,来设置比赛的时间。时间设置好时,等待比赛开始,当裁判吹响开始哨声时,立即按下RUN/STOP键启动计时,这时计时电路便开始工作,计时采用倒计时方式,即从12分00秒减到00分00秒表示结束, 一场结束时,蜂鸣器会发出响声,通知上半场结束。在整个赛程中,按EXCHANGE键完成甲、乙两队的分数交换,我们还要对两队比分进行及时刷新,这时我们通过计分电路中的K1~K6键完成此功能,K1、K2和K3键完成对甲队的加分, K4、K5和K6键完成对乙队的加分。

由于加分我们采用中断完成,且加分的中断优先权小于计时电路的中断优先权,所以不会对计时电路造成影响。如果在比赛过程中,一方教练申请暂停时,经裁判批准,我们立即按下RUN/STOP键,即可以暂停计时,暂停时间到时,再按RUN/STOP键继续计时,直至本场比赛结束, 蜂鸣器会发出响声,表示比赛结束。

二系统硬件设计

2.1 系统硬件部分组成

系统硬件主要是由单片机AT89C51、计时显示电路、计分显示电路、报警电路和按键开关五个部分组成。

篮球比赛积分的准确性和计时的精度是有很高要求的,而单片机在这方面有着出色的表现。本系统采用频率为12MHz的芯片。

单片机是该系统电路的核心组成部分,系统的各种功能都是在单片机内通过编程来实现的。它包含了作为微型计算机所必需的基本功能部件, 各功能部件通过片内单一总线连成一个整体,集成在一块芯片上。单片机主要包括1个8位CPU、1个片内振荡器及时钟电路、128B RAM、4KB ROM、2个16位定时器/计数器、32条可编程的I/O线和一个可编程的全双工串行接口。

2.2 系统定时控制部件设计

定时控制部件是在规定的时刻发出各种操作所需的全部内部和外部控制信号,使个功能元件协调工作,完成指令所规定的内容。主要任务时产生一个工作时序,其工作需要时钟电路一共一个工作频率。

秒信号经秒、分计数器后分别得到“秒”个位、十位,“分”个位、十位的计时输出信号,然后输出到译码显示电路。“秒”计数器应为60进制,而“时”计数器可为大于等于40的任意进制。

本系统采用的是内部时钟产生方式,与内部反相器构成稳定的自激振荡器。其发出的脉冲直接送入片内的定时控制部件。

图2时钟电路

2.3系统的复位电路设计

单片机通常采用上电复位、按钮电平复位、外部脉冲复位、上电加按钮电平复位等方式。本设计采用按键电平复位方式控制单片机的运行。

图3复位电路

2.4显示系统的设计

为了显示字符,要为LED显示器提供显示段码(或字形代码),组成一个“8”字形字符的7段,再加上1个小数点位,共8段,因此提供给LED显示器的显示段码为1的字符。本设计采用共阴极接法,把所有组成八段数码管的发光二极管的阴极连在一起,通常接地,通过控制每一只发光二极管的阳极电平来使其发光或熄灭,阳极为高电平则发光,阳极为低电平则熄灭。

为了显示字符,要为LED显示器提供显示段码(或字形代码),组成一个“8”字形字符的7段,再加上1个小数点位,共8段,因此提供给LED显示器的显示段

码为1的字符,各段码的对应关系如图4所示:

g f b

a e d c

d p

gnd gnd

图4

数码管的引脚结构图

共阴极数码管内部发光二极管的阴极(负极)都联在一起,此数码管阴极(负极)在外部只有一个引脚。

2.5报警电路设计

当比赛结束时,系统执行相应的程序带动蜂鸣器发出响声,实现报警提示功能,以便让赛会组织者做出相应的行为活动,使得比赛有秩序的进行下去。

图5报警电路

三 系统软件设计

3.1软件设计总流程图

本设计软件设计部分采用模块化程序设计,程序部分由主程序、延时子程序、T0中断服务程序、按键扫描子程序、数码管显示子程序、定时设置子程序、结束报警显示子程序。程序的总流程图如图6所示:

图6程序流程图

3.2计时程序设计

主程序采用方式0计时,中断的方式执行。设定初值开始倒计时,一秒一秒

地减少与零进行比较。看是否为零,不为零则循环执行直到为零后执行相应的操作。为零后分钟减少1,秒位置重新赋初值,以便重新开始计时。循环以上才操作直到计时分秒都为零时比赛结束。

3.3加分程序设计

查询方式判断键盘是否按下,有按下则执行相应的处理程序,以便显示可以判断执行按键操作了没,程序见附录。

3.4显示设计

显示部分通过P0口外加上拉电阻进行显示,数码管采用七段共阴极数码管,通过查表指令进行显示,首先将要现实的缓存单元送入累加器中,通过累加器进行查表,将要显示的数值存储起来,然后通过位选型号,将要显示的位数码管代开,进行显示。并通过延时子程序进行延时,使其显示的数值稳定下来。然后再通过位选信号,将显示的数值关断,从而显示下一个数值。但当显示完一圈后程序跳出,等待下一个跳进来的指令,再次进行显示。

3.5报时程序设计

当比赛结束时,系统执行相应的程序带动蜂鸣器发出响声,实现报警提示功能,以便让赛会组织者做出相应的行活动,使得比赛有秩序地进行下去。

3.6主程序流程图

图7主程序流程图

四调试分析及所用器件

4.1 调试环境

本设计使用仿真软件Proteus和Keil 进行联合调试。原理图在Proteus提供的模板中选择恰当的元器件进行设计绘图,完成电路的搭建,发现设计中的错

误及时改正。所有c语言源程序都在Keil 下编写,对仿真软件进行相应的设置后,Keil 和Proteus能进行通信,即在Keil 中全速运行程序时,Proteus中的单片机系统也会自动运行。系统的软件调试借助于TKS仿真器,在进行系统软件的连续调试之前要先进行软件的初调,就是要使各个子程序模块运行正确,程序的运行流程正确。

利用PROTEUS软件仿真,性能稳定,功能齐全,调节灵活,显示范围宽,且方便易行,不需要硬件的支持。

由以上的仿真结果可知,本设计已经圆满完成了设计要求,并且在原要求的基础上扩展了一些使用的功能,例如自动换场地、按键声音、加速调时,采用了倒计时等。可满足各种规模篮球及其它相关比赛赛程需要。

4.2元件清单

序号名称元件编号参数数量

1 单片机 AT89C51 1

2 排阻RESPACK-8 1

3 LED数码管7SEG-MPX4-CC

7SEG-MPX4-CC

3

4 三极管NPN 1

5 蜂鸣器SPEAKER 1

6 按钮BUTTON 10

7 电容CAP 22pF 2

8 晶振CRYSTAL 12Mhz 1

9 电阻RES 1k 11

10 电解电容CAP-ELEC 10μF 1

基于单片机的篮球计时计分器

基于单片机的篮球计时 计分器 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

目录

摘要 篮球比赛计分器的设计是为了解决篮球比赛时计分与计时准确方便和灵活适用的问题而提出的,我组设计的篮球比赛计分器硬件部分主要利用AT89S52单片机完成了计分与计时的功能,并通过两个四位七段数码管分别用来显示比赛时间和甲、乙比赛双方的分数,软件部分利用Keil C51软件来进行编译,通过Proteus软件进行仿真,最后将生成的HEX文件烧入到单片机芯片中。采用该系统可根据实际情况进行时间的准确显示和比分修改,具有低功耗、可靠性强、安全性高以及低成本等特点,主要不足之处在于计时显示部分有时会出现显示不稳定的情况,基本满足了本次设计要求。 关键词:单片机;篮球赛计分;篮球赛计时;数码管 Abstract Basketball match score indicator is designed to solve the basketball game scoring and timing is accurate, convenient and flexible applicable problem, and that my 14-year-old basketball game hardware part of the group design mainly USES AT89S52 MCU to complete the scoring and timing functions, and through the two four seven segment digital tube is used to display the match time and party a and b both sides score, software part use Keil C51 software to compile, through the Proteus software simulation, finally will generate burn-in HEX file to the MCU chip. Using the system can according to the actual situation to the accurate display and modify the score of time, with low power consumption, high reliability, safety and low cost etc., the main shortcoming in the timer display part can appear sometimes unstable situation, basic meet the requirement of the design. Key words: single chip microcomputer; The basketball game scoring; The basketball game timing; Digital tube

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

基于单片机的篮球赛计时记分器设计

篮球赛计时计分器设计 院系自动化学院 专业自动化 班级 学号 姓名 指导教师 负责教师

摘要 体育比赛中的计时计分系统是对体育比赛过程中所产生的时间、比分等数据信息进行快速采集、加工处理、传递和利用的系统。此系统能否清晰、稳定、精确的反应体育比赛中的客观数据,直接影响到比赛的公平性和公正性。本文针对上述情况研制了篮球赛计时计分器。该系统以单片机为核心,利用7段共阴LED作为显示器件。为了调整比赛中的时间和比分,在本设计中设立了8个按键,分别用于记录甲、乙两队的分数,设置赛程时间,调整赛程时间,启动和暂停赛程时间等功能,本系统还设计了定时报警系统。实践证明,该系统精度高、稳定性好、抗干扰性强,具有一定的应用前景。 关键词:计时器;计分器;单片机;LED显示

Abstract The system of time and score recorded in the sporting games is the one like this: the data about time and socres that generated in the process of the games can be collected rapidly, processed, transmited and be used. If the system can reflect the objective data in the games clearly, stably and accurately, it will affect the fairness and impartiality of the games. According to this, calculagraph and scores recorder of the basketball games are researched and designed in this paper. The core of the system is the MCU, using seven segments LED as the display. In order to adjusting the time and the scores of the game, eight keys are used in this paper. The functions of these keys are: recording the scores of the team A and B, setting up or adjusting the time of the game, and starting or suspending the time of the game. The timing alarm system is also designed in the system. Experiments , good stability, strong anti-interference and it prospects. Key words: calculagraph;scores recorder; MCU; LED display

单片机篮球计时计分器报告

单片机课程设计结题报告《篮球比赛计时计分器》

团队成员分工情况: XX:完成实验报告的总体撰写,焊接方案设计和电路图的绘制,程序编写改进 XX:完成元器件采集,辅助电路焊接,电路图修整以及实验报告的完善 XX:完成程序编写烧录,电路焊接,以及PCB 版图的绘制 其中设计方案的确立由团队成员一起讨论而成

目录 1选题背景 (3) 2方案设计 (4) 2.1硬件电路设计 (5) 2.1.1硬件系统各功能模块的电路 (5) 2.1.2硬件系统的实现 (9) 2.1.3硬件系统的调试 (10) 2.2软件设计、编程及调试 (10) 2.2.1系统软件的模块程序设 (10) 2.2.2编程实现 (11) 2.2.3软件系统的调试 (11) 3系统联调及最终实现结果展示 (11) 4总结 (12) 5 收获与致谢 (13) 6 参考文献 (14) 7附件 (15)

1 选题背景 单片机,亦称单片微电脑或单片微型计算机。它是把中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、输入/输出端口(I/0)等主要计算机功能部件都集成在一块集成电路芯片上的微型计算机。计算机的产生加快了人类改造世界的步伐,但是它毕竟体积大。微计算机(单片机)在这种情况下诞生了,它为我们改变了什么?纵观我们现在生活的各个领域,从导弹的导航装置,到飞机上各种仪表的控制,从计算机的网络通讯与数据传输,到工业自动化过程的实时控制和数据处理,以及我们生活中广泛使用的各种智能IC卡、电子宠物等,这些都离不开单片机。 由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家、测控技术企业、机电行业,竞相把单片机应用于产品更新,作为实现数字化、智能化的核心部件。 本设计由STC89C52编程控制LED七段数码管作球赛计时计分系统具有赛程定时设置、赛程时间暂停、性能稳定、操作方便且易携带等特点。可广泛适合各类学校和小团体作为赛程计时计分。

单片机课程设计报告-篮球计时计分器

2010 ~ 2011 学年第 2 学期 《单片机应用系统设计与制作》 课程设计报告 教学院(部)电气与电子信息工程学院 教研室电气自动化 指导教师 课程设计时间 2011.5.30~2011.6.10 课程设计班级电气自动化技术2009(*)班 学号 2009******** 姓名张 * *

单片机应用系统设计与制作课程设计成绩评定表 课程设计题目:篮球计时记分器 课程设计答辩或质疑记录: 1、 2、 成绩评定依据: 成绩评定依据: 课程设计考勤情况(20%): 课程设计答辩情况(30%): 完成设计任务及报告规范性(50%): 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2011 年 6 月20 日

摘要 篮球计时计分器以单片机为核心,由计时器、计分器、综合控制器等组成。系统采用模块化设计,主体分为计时显示模块、计分显示模块、定时报警、按键控制键盘模块。每个模块的程序结构简单、任务明确,易于编写、调试和修改。编程后利用Keil软件来进行编译,再将生成的HEX文件装入芯片中,采用Proteus软件仿真,检验功能是否能够正常实现,本设计中系统硬件电路主要由以下几个部分组成:单片机AT89C52、计时电路、计分电路、报警电路和按键开关。该系统具有赛程定时设置、赛程时间暂停、及时刷新甲乙双方的成绩以及赛后成绩暂存等功能。 关键词:单片机,计时,计分,显示器,接口 ABSTRACT Time basketball scoring device as the core of SCM includes the timer, scoring devices, integrated controller and other components.This system is used of the modular design, in which the main display module is divided into time display module, scoring display module, timing alarm module, and key control keyboard module. Program structure of each module is simple and clear. So it is easy to write, debug and modify. After programming, firstly we can use Keil software to compile and then generate the HEX file into the chip. Secondly we use the Proteus software simulation to test whether the normal function to achieve. The design of hardware circuit mainly consists of the five components, including AT89C52, timing circuit, scoring circuit, alarm circuit and key switch circuit.The system has many features,such as setting the schedule time, scheduling time to pause, refreshing result of both parties timely, storing temporarily results after the match and so on. KEY WORDS:Microcontroller, Timing, Scoring, Display, Interface

基于单片机的篮球记分器

学号:201025090229 中州大学毕业设计 设计题目:基于单片机的篮球计分器的设计 学院:工程技术学院 专业:机电一体化对口班级二班 姓名:周康 指导教师:沈娣丽 日期:2013 年4 月22 日

诚信声明 本人郑重声明:所提交的毕业设计(论文)是本人在指导教师的指导下,独立工作所取得的成果并撰写完成的,郑重确认没有剽窃、抄袭等违反学术道德、学术规范的侵权行为。文中除已经标注引用的内容外,不包含其他人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中作了明确的说明并表示了谢意。本人完全意识到本声明的法律后果由本人承担。 毕业设计(论文)作者签名:指导导师签名: 签字日期:签字日期:

毕业设计任务书 班级:学生:学号 设计题目: 设计内容及要求: 1)设计一款显示篮球比分的记分牌; 2)通过加分按钮可以给A队,或B队加分; 3)设计对调功能,更换场地后,AB队分数互换; 4)计时:能实现0~99分和0~59秒任意调整,具有启动、暂停、复位功能;5)秒计时:能实现0~99秒任意调整,具有启动、暂停、复位功能; 6)增加局数比分功能; 7)增加比赛时间倒计时功能; 8)报警:0~99秒违例自动声、光报警:0~99分终场自动声响; 9)可实现A、B两队暂停次数和犯规的显示和任意加减; 指导老师(签字):年月日

摘要: 本设计是采用单片机AT89C51作为本设计的核心元件。利用7段共阴LED作为显示器件。在此设计中共接入了8个7段共阴LED显示器,其中4个用于记录AB队的分数,每队2个LED 显示器显示范围可达到0~99分,足够满足赛程需要,另外4个LED显示器则用来记录赛程时间,其中2个用于显示分钟,2个用于显示秒钟。赛程计时采用倒计时方式。即比赛前将时间设置好,比赛开始时启动计时,直至计时到零为止。计时范围可达到0~99分钟,也完全满足实际赛程的需要。当比赛队A队得1分时,按下A+1键;得2分时,按下A+2键;得3分时,按下A+3键;当加分出现错误时,可以按A-1键减1分,可以达到调整分数的作用;依照同样的方法可以记录B队的得分。 采用单片机控制使这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:单片机篮球计分器数码管

基于51单片机的篮球计时计分器

《计算机系统与接口技术》课程设计报告 题目:篮球记时记分器 姓名: 学号: 班级: 专业:电子信息科学与技术 信电学院 2010年12月24日

徐州工程学院信电学院课程设计任务书2010-2011学年第1学期

徐州工程学院课程设计 摘要 本设计是采用AT89S51单片机为核心设计的一个用于赛场的篮球计时计分器。本设计采用定时器T0中断计时,显示部分分为计时和计分显示两部分,均采用共阴极LED显示。计时部分可以调整分钟,足以满足一般赛程需要。两个显示模块均采用动态扫描方式显示。 在本设计中P0(P0.1—P0.6)口是时间和分数的段码数据输出口,P2口是时间和分数的位选数据输出口,本设计共有九个设置按键,add1、add2是加分键(add1按一次加一分,add2按一次加二分),minus1是减分键(按一下减一分),exchange是分数位置交换键,bstop是关蜂鸣器键,start是开始计时键键(按键按下开始倒计时),stopagain是时间暂停键,turn是切换键(用于加分键的切换),timeadd是时间设定键。按键与P1口相接,低电平输入有效。报警部分由有蜂鸣器及其驱动电路组成。定时时间到,扬声器报警,比赛结束,按bstop 键可停止报警(否则一直报警)。本次设计的篮球计时计分器具有以下的功能:(1)能记录整个赛程的比赛时间,并能修改比赛时间。 (2)能随时刷新甲、乙两队在整个比赛过程中的比分。 (3)中场交换比赛场地时,能交换甲、乙两队比分的位置。 (4)比赛结束时,能发出报警声。 (5)可随时接受暂停请求。

计算机原理与接口技术 目录 1 概述 (3) 1.1 单片机简介 (3) 1.2 课程设计的意义 (4) 1.3 任务与要求 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案设计 (5) 2.2 硬件系统设计 (5) 2.2.1 单片机选择 (5) 2.2.2 时钟电路模块 (6) 2.2.3 复位电路模块 (7) 2.2.4按键控制键盘模块 (7) 2.2.5 显示模块和定时报警模块 (8) 3.1 软件总体设计方案 (10) 3.2 程序模块设计 (10) 4 PROTEUS软件仿真 (12) 5 课程设计体会 (14) 参考文献 (14) 附1 源程序代码 (15)

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

单片机篮球计时计分器

《单片机技术及其应用》 课程设计报告 题目:篮球计时计分器 班级:通信本科1班 学号: 姓名: 同组人员: 指导教师:敏 2014 年12 月15 日

目录 1概述 (1) 1.1单片机简介 (1) 1.2课程设计的意义 (2) 1.3设计的任务和要求 (2) 2系统总体方案及硬件设计 (2) 2.1 系统总体方案设计 (2) 2.2 单片机选择 (3) 3 系统的硬件设计 (4) 3.1 时钟电路模块 (4) 3.2 键盘控制模块 (4) 3.3 显示模块 (5) 3.4定时报警模块 (6) 4 系统的软件设计 (6) 4.1软件设计总流程图 (6) 4.2 定时初值计算 (7) 4.3 各部分程序功能分析 (8) 5 系统的Proteus仿真 (13) 6 总结 (14) 7 指导老师意见 (15) 参考书目: (15) 附录 C语言源程序 (16)

篮球计时计分器 1概述 1.1单片机简介 单片机,全称为单片微型计算机(Single Chip Microcomputer),即把组成微型计算机的各个功能部件如中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、输入/输出端口(I/0)、定时器/计数器以及串行通信接口等(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)都集成在一块芯片上,构成的一个完整的微型计算机。 由于单片机的集成度很高、功能强、通用性好、特别是它的体积小、重量轻、功耗低、价格便宜、可靠性高、抗干扰能力强和使用方便等优点、使得单片机得到了迅速推广应用、已远远超出了计算机科学的领域。 单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机—AT89系列单片机。它不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点。此次设计中所用到的AT89S52就是其中典型的代表。 由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家,测控技术企业,机电行业,竞相把单片机应用于产品更新,作为实现数字化,智能化的核心部件。篮球计时计分器就是以单片机为核心的计时计分系统,由计时器,计分器,综合控制器和24秒控制器等组成。

基于单片机的篮球计时计分器设计

基于单片机的篮球计时计分器设计 摘要 随着科技的日益发展进步,单片机技术已经被广泛应用在科研、工作等重要领域内,目前也已经普及到我们的日常生活中,扮演着十分重要的角色。本次设计是基于单片机的篮球计分器,以基本篮球规则为依据,设计结构简单且方便操作,对篮球比赛实现实时控制。 此次设计的计分器总共包括四个模块,他们是24秒显示、计时器、记分器、计节器。实现的功能是:24秒显示能按键控制24秒计时器,当控球时间超过24秒时,可发出报警信号。计时器可按键调节,可以随时暂停计时,暂停比赛,在每节结束和全场结束时报警。记分器记录两队的积累分数,可通过按键分别加1、2、3分。 设计分为硬件设计和软件设计。硬件设计的主要包括的是:LED数码管显示、按键控制系统设计以及辅助功能的设计。软件设计包括:功能选择、倒计时的实现。本文主要介绍了用单片机设计实现篮球计分器的整个流程,采用C语言编写程序。设计引用MAX7219专用LED驱动芯片,解决了多位LED数码管同时显示的问题。其中时间显示和比分显示部分由两组共阴极LED数码管显示。时间显示部分可以以分为单位进行计时调整。比分显示部分可以实现在半场结束后手动换场,两个显示模块均采用动态扫描方式进行显示。按键控制部分采用独立按键,共设八个按键,实现调整分数、调整时间、比赛的开始/暂停、复位键和交换场地键等功能。报警部分由蜂鸣器加三级管驱动,实现了发出按键声音和结束报警的功能。 采用单片机控制使这个系统按键操作使用简单,安装方便。解决了篮球比赛计数器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:STC89C51单片机;温度控制;恒温箱;DS18B20

基于单片机的篮球计分器设计毕业设计

摘要 随着电子科技、信息通信技术的快速发展,嵌入式单片机应用越来越广泛。在HMOS技术大发展的背景下,Intel公司在MCS-48系列的基础上,于1980年推出了8位MCS-51系列单片机。它与以前的机型相比,功能增强了许多,就其指令和运行速度而言,超过了INTEL8085的CPU和Z80的CPU,成为工业控制系统中较为理想的机种。较早的MCS-51典型时钟为12MHz,而目前与MCS-51单片机兼容的一些单片机的时钟频率达到40MHz甚至更高,现在已有400MHz的单片机问世。篮球记分器是一种得分记录工具,由多种电子设备组成。以单片机为核心的篮球记分器造价便宜,使用简单,体积小等特点。

第1章绪论 1.1 单片机简述 单片机就是在一块半导体硅片上集成了微处理器(cpu),存储器(RAM,ROM,EPROM)和各种输入、输出接口(定时器/计数器,并行I/O接口,串行口,A/D转换器以及脉宽调制器PWM等),这样一块集成电路芯片具有一台计算机的属性,因此被称为单片微型计算机,简称单片机。 单片机主要应用于测控领域,用以实现各种测试和控制功能。为了强调其控制属性,在国际上,多把单片机称为微控制器MCU(MicroController Unit)。单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机的优点是体积小、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易。例如,80C51系列单片机已有十多年的生命期,如今仍保持着上升的趋势,就充分证明了这一点。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机——AT89系列单片机。他不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点,此次设计中所用到的AT89C51就是其中典型的代表。 1.2 设计意义 单片机的应用是具有高度现实意义的。单片机极高的可靠性,微型性和智能性(我们只要编写不同的程序后就能够完成不同的控制工作),单片机已成为工业控制领域中普遍采用的智能化控制工具,已经深深地渗入到我们的日常生活当中。通过此次基于单片机设计的篮球计时计分系统,我们可以更清楚详细的了解单片机程序设计的基本指令功能、编程步骤和技巧来讲述单片机编程,并对MCS-51单片机的结构和原理进行讲述,以及基于单片机开发应用的相关芯片的工作原理,并且可以在将来的工作和学习中加以应用。

(完整版)篮球赛计时计分器开题报告

华东交通大学理工学院 本科生毕业设计 开题报告 题目:嵌入式篮球赛计分屏的设计 分院:电气与信息工程学院 专业: 班级: 学号: 姓名: 指导教师: 填表日期:2012 年 2 月10 日

目录 一、选题的依据及意义 (2) 1.1选题的依据 (2) 1.2选题的意义 (2) 二、国内外研究现状及发展趋势 (3) 三、本课题研究内容 (4) 四、本课题研究方案 (5) 4.1.硬件设计方案 (5) 4.2.软件设计方案 (6) 五、研究目标、主要特色及工作进度 (8) 六、参考文献 (9) 七、指导老师意见 (10)

一、选题的依据及意义 1.1 选题的依据 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪后,集成在一个芯片上,构成单片微型计算机;“创新模式”则完全按嵌入式应用要求设计全新的,满足嵌入式应用要求的体系结构、微处理器、指令系统、总线方式、管理模式等。Intel公司的MCS-48、MCS-51就是按照创新模式发展起来的单片形态的嵌入式系统。MCS-51是在MCS-48探索基础上,进行全面完善的嵌入式系统,成为单片嵌入式系统的典型结构体系。 体育比赛计时计分器是对体育比赛过程中所产生的时间、比分等数据进行快速采集记录、加工处理、传递利用的工具。篮球比赛的计时计分器是一种得分类型的工具。它由计时器等、计分器等多种电子设备组成。 虽然篮球比赛中很早就开始研究应用了电子计分器,但通常都是利用模拟电子器件、数字电子器件或是模拟、数字混合组成的,其稳定性和高准确度计分仍存在一些问题。以单片机为核心的篮球比赛计分器,计分准确,具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点。 1.2 选题的意义 通过此次基于嵌入式单片机设计的篮球计分屏,可以更清楚地了解单片机程序设计的基本指令功能、编程步骤和技巧,了解AT89C51单片机的结构和原理,了解基于嵌入式单片机的相关外围电路的设计和调试过程,有助于今后的学习和工作生活。

2020年篮球计分器开题报告

篮球计分器开题报告 篮球计分是篮球比赛的准备基础,关于它的设计也能影响到篮球的比赛。 石河子大学 毕业论文开题报告 课题名称:基于单片机的便携式篮球计分计时系统设计 学生姓名:朱宝军 学号:学院:机械电气工程学院 专业、年级:电气工程及其自动化12级2班 指导教师:张晓海 职称:副教授 毕业论文(设计)起止时间: 目录 1课题名称及 (1) 2研究的目的意义及国内外研究现状 (1) 2.1选题的目的及意义 (1)

2.2国内外研究现状 (1) 3课题的研究内容、目标及可行性分析 (2) 3.1研究内容 (2) 3.2研究思路和方法 (2) 3.3研究目标 (2) 3.4可行性分析 (3) 4关键问题和难点分析 (3) 4.1课题研究的关键问题 (3)

4.2课题研究的难点分析 (3) 4.3进度计划 (3) 参考文献 (4) 1课题名称及: 名称:基于单片机的便携式篮球计分计时系统设计 :老师推荐 2研究的目的、意义和国内外研究现状: 2.1选题的目的及意义 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪

基于某单片机地篮球赛计时计分器单片机期末课程设计

目录 第1节引言 (1) 1.1本设计的任务和主要容 (1) 第2节系统主要硬件电路设计 (2) 2.1 单片机控制原理 (2) 2.2 单片机主机系统电路 (3) 2.2.1计时电路 (4) 2.2.2计分电路 (5) 第3节系统的软件设计 (6) 3.1 篮球赛计时计分器程序源代码 (6) 3.2篮球赛计时计分器程序流程图 (16) 结束语 (17) 参考文献 (18)

基于单片机的篮球计时计分器 第1节引言 随着单片机载各个领域的广泛应用,许多用单片机作控制的球赛计时计分器系统也应运产生,如用单片机控制LCD液晶显示器计时计分器,用单片机控制LED七段显示器计时计分器等。 本文介绍一种有AT89C51编程控制LED七段数码管作显示的球赛计时计分系统。本系统具有赛程定时设置、赛程时间暂停、及时刷新甲、乙队双方的成绩以及赛后成绩暂存等功能。它具有价格低廉、性能稳定、操作方便且易携带等特点。广泛适合各类学校和小团体作为赛程计时计分。 1.1本设计的任务和主要容 这次课程设计的主要任务是:设计制作一个用于赛场的篮球赛计时计分器。 主要容如下: ①能记录整个赛程的比赛时间,并能修改比赛时间、暂停比赛时间。 ②能随时刷新甲、乙两队在整个赛程中的比分。 ③中场交换比赛场地时,能交换甲、乙两队比分的位置。 ④比赛时间结束时,能发出报警指令。

第2节系统主要硬件电路设计2.1 单片机控制原理 图2-1 球赛计时计分器系统图

2.2 单片机主机系统电路 本系统采用单片机AT89C51作为本设计的核心元件。利用7段共阴LED作为显示器件。在此设计中共接入10个7段共阴LED显示器,其中6个用于记录甲、乙两队的分数每队3个LED显示器显示分数围可达到0-999分,足够满足赛程需要。另外4个LED显示器则用来记录赛程的时间,其中2个用于分钟;2个用于显示秒钟。赛程设计采用倒计时方式。即比赛前将时间设置好,比赛开始启动计时,直至计时到零为止。计时围可达到0~99分钟也满足实际赛程的需要。 其次,为了配合计时器和计分器校正、调整时间和比分,特定在本设计中设立了7个按键。其中4个用于输入甲、乙两队的分数;另外3个则用于完成设置、调整、启动和暂停赛程时间等功能。 最后,还这几了定时报警系统,即比赛时间到,我们立即通过扬声器发出报警声,提示整个赛程结束。

篮球计时计分器设计

摘要 单片机发展极为迅速,自从问世以来就以极高的性价比受到人们的关注,由于它体积小,环境适应性好,价格低,易开发,所以在各个领域都得到了推广。广泛应用于智能仪器仪表、工业控制、家用电器、计算机网络、医疗设备、汽车设备等领域中。当前世界上各大芯片公司都推出了自己的单片机,从8位、16位到32位等,但它们各具特色,互成互补,为单片机的应用提供了广阔的天地。 本次设计就是采用单片机AT89C51编程控制 7段共阴LED数码管作显示的篮球比赛计时计分系统。在体育比赛的计时计分系统中包括测量类、评分类、命中类、制胜类、得分类等多种类型。而篮球比赛时根据运动队在规定时间内得分多少来决定胜负的,因此篮球比赛的计时计分器是一种得分类型的系统。本系统采用模块化设计,主体部分可分为计时显示模块、计分显示模块、定时报警模块、按键控制模块。利用Keil C51软件进行编程,程序编写完成后通过编译生成HEX文件装入AT89C51芯片中,采用仿真软件Proteus检验功能是否能够正常实现。最后采用Protel DXP画出电路原理图并生成印刷电路板图。本系统由计时电路、计分电路、报警电路和控制电路四个电路组成。 篮球比赛计时计分器的主要功能有赛程时间设置、赛程时间启动/停止设置、比分交换控制和比分刷新控制等。它具有很高的灵活性,同时操作步骤也很简单且具有低功耗,可靠性,安全性以及低成本等特点。 关键词:单片机;篮球比赛计时计分器;AT89C51

Abstract SCM develops rapidly since its inception to the high price people pay attention, because of its small size, adaptability to environment, low price, easy to develop, so in all fields have been promoted. Widely used in smart instrumentation, industrial control, home appliances, computer networks, medical equipment, automotive equipment, and other areas. Currently the world's major chip companies have launched their own microcontroller, from the 8-bit, 16-32, etc., but they are distinctive, each into a complementary application for the microcontroller provide a vast world。 The design is controlled by microcontroller AT89C51 programming 7-segment common cathode LED digital display for time basketball scoring system. Timing in sports including measurement category scoring system, evaluation categories, hit category, winning class, a segment other types. The basketball game sports teams based on points within the specified time to be decided by how much, so the timing basketball game scoring device is a type of scoring system. The system is modular in design, the main part of the display module can be divided into time, scoring display module, timing alarm module, key control module. Using Keil C51 software programming, programming is complete compiled HEX file is loaded by AT89C51 chip, using simulation software Proteus test feature is achieved correctly. Finally draw the circuit diagram Protel DXP and generate printed circuit board diagram. The system consists of timing circuitry, scoring circuits, alarm circuits and control circuits of four circuit。 Basketball time scoring device main functions of time schedule set, schedules, time to start / stop settings, scores and scores exchange control refresh control. It has high flexibility, while the steps are

篮球计时计分器系统设计报告

篮球计时计分系统 设计报告 电信131 荣根电信132 卓壮浩

目录 1.系统设计要求及设计思路 2.硬件电路设计 2.1主控电路 2.2按键控制模块 2.3显示模块 2.4定时报警模块 3.软件开发流程及代码分析 4.实验总结

引言 ?篮球计时计分器硬件电路设计 ?篮球计时计分器软件设计 篮球计时计分器系统以AT89C51单片机为核心,系统显示部分包括 计时显示和计分显示两部分,均采用共阳极LED动态扫描方式显 示。系统采用单片机定时器TO中断计时,计时围宽,可进行定 时设定,也可以调整小时、分钟、秒等值;计分部分调整灵活,显 示围宽,足以满足各种规糢赛程需要。

1. 系统设计要求及设计思路 1.系统设计要求 为实现篮球计时计分器系统的设计,本系统应该满足以下几点 要求: ?能设置整个赛程的比赛时间,在比赛过程中能根据需要暂停和开始计时。 ?能根据比赛情况刷新比赛双方的比分。 ?中场交换比赛场地时,能交换甲、乙两队比分的位置。 ?比赛结束时,能发出报警声。 2.系统设计思路 根据设计任务与要求,设计思路如下: 系统主要功能包括记分和计时两部分,能实现两队比分的加分、减分、汁时以及其他多种显示效果;系统控制模块有:2位动态显示记分模块、4位动态显示计时模块、两队加分减分模块、按键查 询模块、分秒控制模块等。 具体实现过程:釆用7段LED数码管动态显示整个赛程的比赛时间和两队的比分情况。通过 4个按键和外部中断0实现输入功能,用于赛前时间调整、比赛过程比分纪录、时间启停以及比赛结束以后的声音报警等功能。

2.硬件电路设计 根据设计要求与设计思路,确定该系统的设计方案,图6-1为该系统设计方案的硬件电路设计框图。硬件电路主要由4部分组成:主控电路、按键控制电路、显示模块和定时报警模块。下 面对其进行一一介绍。

相关主题
文本预览
相关文档 最新文档