当前位置:文档之家› 正弦信号发生器实验报告书

正弦信号发生器实验报告书

正弦信号发生器实验报告书
正弦信号发生器实验报告书

正弦信号发生器

摘要:本系统由FPGA,单片机控制模块,键盘,DAC输出电路构成。用单片FPGA实现了DDS,产生稳幅正弦波,并通过控制查询不同的ROM 表或输入信号和调制信号相乘等方案,在数字域实现了AM,ASK,FSK等三类调制信号。单片机控制输出控制字和三类调制信号的选择。正弦波输出频率范围在1KHz~10MHz之间,输出频率符合基本要求,输出电压幅度再接50?负载电阻后峰峰值满足也基本要求,最后输出波形在示波器显示无明显失真。整个电路结构紧凑,电路简单,功能强大,可扩展性强。

一、方案论证与比较

根据题目要求,基本部分主要输出稳定的正弦信号,而发挥部分则要实现调制信号的输出。

1. 正弦信号输出方案

方案一:采用专用信号发生器。MAX038是美信公司的低失真单片信号发生器集成电路,内部电路完善。使用该芯片,设计简单,可以生成同一频率信号的各种波形信号,但频率精确度和稳定度都难以达到要求。

方案二:采用直接数字合成(Direct Digital Synthesizer)方案。DDS 的原理框图如图1。

累加器相位寄

存器

加法器正弦ROM

时钟源

DAC

频率控

制字

图1 DDS的原理框图

DDS是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS技术频率分辨率高、转换速度快、信号纯度高、相位可控、输出信号无电流脉冲叠加、输出可平稳过渡且相位可保持连续变化等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。它从”相位”的概念出发进行频率合成,这种方法不仅可以产生不同频率的正弦波,而且可以控制波形的初始相位,还可以用DDS方法产生任意波形(AWG)。

方案论证:从题目要求来看,上述两种方案都可以满足题目合成频率范围的要求,但信号发生器产生的频率稳定度、精确度都不如 DDS合成的频率;另一方面,DDS较信号发生器更容易精确控制,所以我们选择 DDS方案进行频率合成。

2. 信号调制模块

a. ASK(OOK)信号合成

方案一:两路信号同时输入,一路是输入信号,另一路为接地,通过选择信号的选择,输出ASK(OOK)。原理框图见图2。

输入

信号

ASK

signal

图2 ASK调制信号输出

方案二:调制信号和载波信号通过乘法器,输出ASK信号。

载波信号ASK

调制

信号

图3 乘法器相乘输出ASK信号

调制信号波形:图

载波信号波形:图

理论输出ASK波形:图

方案论证:从两种方法看,都可以实现ASK的信号输出,但利用乘法器直接相乘输出较通过选择开关来输出更为简洁,方便。所以我们通过使用一个乘法器选择输出一个ASK信号。

b. PSK信号合成

方案一:调制信号和载波信号通过乘法器,输出PSK信号。

载波信号PSK

码形变换

signal

NRZ

BNRZ

图7 PSK 调制信号输出(方案一)

方案二:两路信号同时输入,一路是正弦输入信号,另一路为反向输入信号,通过选择信号的选择,输出PSK 。原理框图见图8。

正弦输入信号PSK

signal

反向输入信号

图8 PSK 调制信号输出

方案论证:方案一中调制信号需要通过码型变换,过程较方案二直接选择载波信号和反向正弦载波信号的输出复杂,直接选择输出只要建立两个ROM 表,就可以选择输出PSK 。所以我们通过一个选择开关输出PSK 波形。

c. AM 信号合成

方案一:通过不同的时钟查询不同的ROM ,输出两路不同频率的正弦信号取高10 位加上A/2,也就是加上512,然后加上第三个ROM 表中的输出信号,得到所需要的AM 信号。原理框图如图9

ROM1

ROM2

高10位+512

ROM3

AM

乘以B

CLK

CLK

图9 AM 调制信号(方案一)

方案二:通过DDS 的生成的频率可控正弦载波信号减去512后与减去512后调制信号通过乘法器相乘,输出信号除以2,其中高10位加上512与低10位信号相加即可得到AM 输出信号。

低10位除以2

ROM

两路信号相加

DDS

高10位除以2

1M~10M

CLK

-512

-512

+512

AM

图10 AM 调制信号(方案二)

注:因为最后通过DA 输出必须要无符号数,加上512起到了抬高波形的作用。

方案论证:从题目要求看,两种方案都可以实现AM 波形的输出,但是第1种方案需要重新建立ROM 表,占用资源。第2种方案可以利用原先建立好的DDS 直接合成输入信号,节约资源,节省空间 。所以我们选用第二种方案实现AM 信号输出。

3. 信号调制模块

方案一:采用 AD 公司的 DDS 专用芯片 AD9851合成

AM 的载波,采用传统的模拟调制方式来实现 AM 调制。但这种方案的缺点是需要额外的模拟调制AM 调制的电路,且模拟调制电路难免引入一定的干扰,而且此方案中 PSK 的调制也不好实现。

方案二:采用 AD9851合成 AM 的载波,将 AM 调制信号离散化形成数字信号,使AM 调制的频率偏移通过改变 AD9851的频率字来实现。这种设计方案减少了AM 调制过程中引入的干扰,也大大简化了AM 调制电路的设计。但是 AM 调制还是需要模拟乘法器,而 PSK 的调制也需要额外的电路。

方案三:采用 AD 公司的 AD9856作为调制芯片。AD9856是内含DDS 的正交调制芯片,可以实现多进制的数字幅度调制,多进制的数字相位制和和多进制的数字幅度相位联合调制。AM ,PSK 和 ASK 调制都可以通过它实现。但是 AD9856不便于调频,且控制复杂。

方案四:采用 FPGA +DAC 来实现 DDS 。这样通过 FPGA 在数字域实现频率合成然后通过 DAC 形成信号波形。由于信号都是由 FPGA 在数字域进行处理,可以很方便的将 AM 等调制在数字域实现。所有调制电路的功能都由 FPGA 片内的数字逻辑电路来实现,整个系统的电路设计大为简化,同时由于数字调制避免了模拟调制带来的误差和干扰,大大提高了调制的性能,而且硬件电路设计的软件化,使得电路设计的升级改进工作大为简化。

方案论证:上述方案中,方案四的电路最为精简,调制性能也最好。通过此种方法已经达到了本题发挥部分的指标要求,所以选择方案四来实现信号的制。

二. 系统总体设计

(1)系统总体框图如图

单片机

频率控制字

累加器加法器

选择器

Sin rom 表

反向sin rom 表

ASK

PSK AM sin 正弦信号

DA

频率控制字、使能

图11 总体设计框图 (2)单片机小系统

用户设置330单片机小系统控制字数据,使能控制,cp 控制以及清零控制,实现整体信号调控输出,通过频率控制字实现调制信号不同频率输出,单片机通过按键输入,负责用户的交互和整个系统的控制。

(3)DDS 及调制电路模块 DDS 及调制电路模块由 FPGA 和 DAC 构成。 FPGA 负责在数字域实现正弦波的合成、 AM 调制信号的合成,产生 ASK 和 PSK 的调制信号并完成 ASK 、PSK 的调制和 AM 调制,然后控制 DAC 输出波形。

三. 主要单元电路工作原理及分析计算

(1)DDS 模块设计

累加器

相位寄存器

时钟源

相位累加器,它由一个加法器和一个相位寄存器组成;每来一个时钟,相位寄存器以步长增加,相位寄存器的输出与频率控制字(M)相加,然后输入到正弦查询表地址上。 Sin 表的大小为2^8=256,累加器的高8位用于寻址Sin 表.时钟频率为50MHZ , 若累加器按步进为M 地累加直至溢出,称M 为频率控制字。

正弦ROM

正弦查询表包含一个周期正弦波的数字幅度信息,每个地址对应正弦波中 0~360度范围的一个相位点。查询表把输入的地址相位信息映射成正弦波幅度的数字量信号,驱动DAC ,输出模拟量。

正弦查询表有matlab 计算产生,并生成一个Hexadecimal File(ROM 表长度8位、位宽10位)

DDS 的最小分辨率

实验中fc 选择5oMHZ 最小分辨率为2.98HZ

DDS 的输出频率 实验中M 可选1~524287中任意数,为最少有50点,所以M 选择1~3355544中任

意数,此时输出频率可从2.98~1000KHZ 。

(2)调制电路模块的实现

调制电路的实现在方案设计中已经详细介绍,在此不在赘述。ASK ,PSK ,AM,正弦信号各个单元的调制电路组合在一个文件中通过选择器,单片机按键控制输出不同 的调制信号。原理框图如图。

调制信号输出选择器

选择键

ASK PSK AM sin 输出不同的调制信号

(3)控制电路模块的实现

单片机控制输入输出

mcp mclr fc fcen x

sin rev_sin

mcp 键:脉冲控制频率控制字 mclr 键:清零键 fc 键:50M 晶振

M 2

t c ou N

=f f N

c f

f 2min

=

?

fcen键:使能

X键:频率控制字

sin输出:查询正弦ROM得到的正弦波信号

rev_sin输出:查询反向正弦ROM得到的正弦波信号

四. 系统程序设计

(1)单片机按键控制电路模块的实现

本设计目的是接收用户键盘输入的数据(信号参数的预制、选择和步进)和控制指令。根据题目要求,本软件设计了键盘输入,数码管显示。用户可通过键盘选择菜单项设置输出信号或选择输出信号(正弦波、模拟调幅波、模拟调频波等)。当用户选择输出时,程序把设置的参数送至 FPGA,并对 FPGA发出控制命令使其输出需要的信号。

单片机控制是电路实现的控制核心,我们使用330单片机和FPGA逻辑电路完成了调制信号的输出。其中单片机的程序框图如图所示。

开始

关开门狗

判断初始化

P0.1=reset P0.3=X P0.4=cp P1.0=使能P1.1,P1.2选择

处理控制字并送FPGA 控制寄存器

结束

是否有按键

按下显示是否

图 软件流程图

注:控制程序见附录

(2)数字逻辑设计

本系统的所有数字逻辑电路都由 FPGA 实现。FPGA 选用的是Altera 公司的Cyclone 系列 FPGA ――EP1C3T144C8。此芯片有 LE 约 3000个,片内 RAM 有52Kbits 。 FPGA 的数字逻辑电路负责在数字域实现 AM 调制信号的频率合成和生成 ASK 和 PSK 的调制信号(仅通道一有上述功能),正弦波(载频)的频率合成,完成 ASK ,PSK ,AM 在数字域的调制,然后控制 DAC 形成正确的波形信号。PSK 调制的实现:本系统中PSK 调制是通过两个ROM ,其中一个为反向的sin 的ROM

表来间接实现 PSK 调制。AM 调制直接在 FPGA 内用数字乘法器实现;ASK 调制直接用开关选通载频信号或是截断载频信号,由于DAC 中零电平的量化字是 512, 所以零电平是常数512。原理框图如下图。

ddss

JX

SINFP

sel

divide1

divide2

lpm_rom2

A+B

A+B

A+B

mcp mclr x fc fcen

Lpm_counter0

dataa[9..0]datab[9..0]

fdac

dataa[9..0]dataa[9..0]datab[9..0]

dataa[9..0]

dataa[9..0]

512

512

A+B

512

2

rs[19..0]

rs[9..0]

2

图 Quartus II 软件整体程序框图

shift

convert

jicun

lpm_rom3

lpm_rom2

A+B

fdac

Rev_sin [9..0]

Sin[9..0]

data[25..18]

data[25..0]

data[25..18]

data[25..0]

mcp mclr fc fcen

x

图 Quartus II 软件ddss 模块程序框图

五.调试

调试过程主要围绕 FPGA + DAC 为核心的 DDS 信号输出和调制模块进行。利用 EDA 软件 Quarturs II 提供的嵌入式内置逻辑分析仪,通过 JTAG 口实时观察 FPGA 内部信号,以便及时发现、定位和修复 FPGA 当中存在的逻辑错误。DDS 与

单片机接口采用了自定义的类 SPI接口形式,在调试过程当中,首先通过330单片机开发软件对单片机程序进行软仿真,结合示波器确定单片机发出的命令控制字的有效性,接着通过 EDA软件 Quarturs II提供的嵌入式内置逻辑分析仪确认 DDS内部接口逻辑的正确性。

六. 系统测试及数据分析

测试仪器:数字万用表、数字示波器、函数信号发生器、330单片机、FPGA+DAC 输出。

(1)正弦波频率范围测试

将输出接50?负载,对输出电压进行测试,测得的结果如下表所示。

设置频率(Hz)实测频率(Hz)Vpp(V)

762.9 762.2 7.12

1.526K 1.5252K 7.12

3.052K 3.0510K 7.12

9.155K 9,1546K 7.12

12.207K 12.2011K 7.12

24.414K 24.4142K 7.12

48.828K 48.8285K 7.12

97.656K 97.6570K 7.12

195.312K 195.314K 7.12

390.625K 390.628K 6.96

781.250K 781.256K 6.24

1.562500M 1.56251M 4.24

4.687500M 4.68754M 0.875

10.93750M 10.9375M 0.144

结论:经测试,实测频率与实际设置频率基本一致,电压输出峰峰值在频率较低处基本相符,在频率较高处会出现一定程度的失真。

波形输出

(1)ASK

(2)PSK

(3)AM

七. 结论

经测试,由单片机、FPGA结合 DAC构成的正弦信号发生器达到了题目提出的所有要求,并在大部分指标上有所超越。由于采用了较为灵活的设计方案,本系统具有结构紧凑,电路简单,功能强大,可扩展性强的特点,并且调试相对容易,进度可控制性强。

附录1

JX的VHDL如下:

IBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY JX IS

PORT(CP:IN STD_LOGIC;

CLK:OUT STD_LOGIC);

END JX;

ARCHITECTURE ONE OF JX IS

SIGNAL N:STD_LOGIC_VECTOR(9 DOWNTO 0); BEGIN

PROCESS(CP)

BEGIN

IF(CP'event and CP='1') THEN

N<=N+1;

END IF;

IF(N<"1111101000") THEN

IF(N<"111110100") THEN CLK<='1'; ELSE CLK<='0';

END IF;

ELSE

N<="0000000000";

END IF;

END PROCESS ;

END ONE;

SINFP的VHDL如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY SINFP IS

PORT(CP:IN STD_LOGIC;

CLK:OUT STD_LOGIC);

END SINFP;

ARCHITECTURE ONE OF SINFP IS

SIGNAL N:STD_LOGIC_VECTOR(5 DOWNTO 0); BEGIN

PROCESS(CP)

BEGIN

IF(CP'event and CP='1') THEN

N<=N+1;

END IF;

IF(N<"110010") THEN

IF(N<"011001") THEN CLK<='1';

ELSE CLK<='0';

END IF;

ELSE

END IF;

END PROCESS ;

END ONE;

Sel的VHDL如下:

library ieee;

use ieee.std_logic_1164.all;

entity sel is

port(ra,rb:in std_logic_vector(9 downto 0); s:in std_logic;

psk:out std_logic_vector(9 downto 0));

end sel;

architecture behave of sel is

begin

process(ra,rb,s)

begin

if s='1' then

psk<=ra;

else

psk<=rb;

end if;

end process;

end behave;

Shift的VHDL如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned;

use ieee.std_logic_arith.all;

entity shift is

port(cp,x,clr:in std_logic;

m:out std_logic_vector(24 downto 0));

end shift;

architecture behave of shift is

signal iq:std_logic_vector(24 downto 0); signal n: integer range 0 to 26;

begin

process(cp,clr,x,iq,n)

begin

if clr='1' then n<=0; iq<="0000000000000000000000000"; elsif cp'event and cp='1' then

if(n<=25) then

iq(n)<=x;

n<=n+1;

end if;

end if;

m<=iq;

end process;

end behave;

Convert的VHDL如下:

library ieee;

use ieee.std_logic_1164.all;

entity convert2 is

port(m:in std_logic_vector(24 downto 0);

nm1:out std_logic_vector(25 downto 0));

end convert2;

architecture rtl of convert2 is

begin

process(m)

begin

nm1<='0'&m;

end process;

end rtl;

Jicun的VHDL如下:

library ieee;

use ieee.std_logic_1164.all;

entity jicun is

port(din:in std_logic_vector(25 downto 0);

clk:in std_logic;

dout:out std_logic_vector(25 downto 0));

end jicun;

architecture rtl of jicun is

begin

process(clk,din)

begin

if din>="11111111111111111111111111" then

dout<="00000000000000000000000000";

elsif clk='1' and clk'event then

dout<=din;

end if;

end process;

end rtl;

附录2 单片机软件程序

能产生方波,三角波,正弦波地信号发生器(用741)

模拟电子技术 ——课程设计报告 题目:信号发生器 专业: 班级: 学号: : 日期: 指导老师: 目录(信号发生器) 1 信号发生器的总方案及原理框图 1.1 电路设计原理框图 1.2 电路设计方案设计

2 设计的目的及任务 2.1 课程设计的目的 2.2 课程设计的任务与要求 2.3 课程设计的技术指标 3 各部分电路设计 3.1 正弦波产生电路的工作原理 3.2 正弦波——方波发生电路的工作原理3.3 方波——三角波转换电路的工作原理3.4 电路的参数选择与计算 3.5 总电路图 4 电路的仿真 4.1 正弦波发生电路仿真 4.2 方波——三角波发生电路的仿真 5 电路的安装与调试 5.1 正弦波发生电路的安装与调试 5.2 正弦波——方波的安装与调试 5.3 方波——三角波的安装与调试 5.4 总电路的安装与调试 5.5 电路安装与调试中遇到的问题及分析解决方法 6 电路的实验结果 6.1 正弦波发生电路的实验结果

6.2 正弦波——方波转换电路的实验结果6.3 方波——三角波转换电路的实验结果 6.4 实测电路误差分析及改进方法 7 实验总结 1 信号发生器的总方案及原理框图 1.1 电路设计原理框图 电路设计原理框图如图1所示。 三角波

图1 电路设计原理框图 1.2 电路设计方案设计 1、采用RC串并联网络构成的RC桥式振荡电路产生正弦波。 2、将第一级送出的正弦波经过第二级的滞回电压比较器输出方波。 3、将第二级的方波通过第三级的积分器输出三角波。 4、电路完成。 2 设计的目的及任务 2.1 课程设计的目的 1、学习用集成运放构成正弦波、方波、三角波发生器。 2、学习波形发生器的调整和主要性能指标的测试方法。

正弦信号发生器的设计

XXXX大学现代科技学院DSP硬件电路设计基础课程设计 设计名称正弦信号发生器的设计 专业班级 学号 姓名DENG 指导教师XXXX

课程设计任务书 注: 上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 日期:2014-12-10

专业班级 XXXXXXX 学号 姓名 DENG 成绩 设计题目 正弦波信号发生器 设计目的 学会使用CCS(Code Composer Studio)集成开发环境软件,在此集成开发环境下完成工程项目创建,程序编写,编译,链接,调试以及数据的分析。同时完成一个正弦波信号发生器的程序的编写,并在集成开发环境下进行模拟运行,观察结果。 设计内容 编写一个产生正弦波信号的程序,在CCS 软件下进行模拟运行,观察输出结果。 设计原理 正弦波信号发生器已被广泛地应用于通信、仪器仪表和工业控制等领域的信号处理系统中。通常有两种方法可以产生正弦波,分别为查表法和泰勒级数展开法。查表法是通过查表的方式来实现正弦波,主要用于对精度要求不很高的场合。泰勒级数展开法是根据泰勒展开式进行计算来实现正弦信号,它能精确地计算出一个角度的正弦和余弦值,且只需要较小的存储空间。本次课程设计只要使用泰勒级数展开法来实现正弦波信号。 1. 产生正弦波的算法 在高等数学中,正弦函数和余弦函数可以展开成泰勒级数,其表达式为 若要计算一个角度x 的正弦和余弦值,可取泰勒级数的前5项进行近似计算。 ……………………………………装………………………………………订…………………………………………线………………………………………

由上述两个式子可以推导出递推公式,即 sin(nx)=2cos(x)sin[(n-1)x]-sin[(n-2)x] cos(nx)=2cos(x)sin[(n-1)x]-cos[(n-2)x] 由递推公式可以看出,在计算正弦和余弦值时,不仅需要已知cos(x),而且还需要sin[(n-1)x]、sin[(n-2)x]和cos[(n-2)x]。 2. 正弦波的实现 ⑴计算一个角度的正弦值 利用泰勒级数的展开式,可计算一个角度x的正弦值,并采用子程序的调用方式。在调用前先在数据存储器d_xs单元中存放x的弧度值,计算结果存放在d_sinx单元中。 ⑵计算一个角度的余弦值 利用余弦函数展开的泰勒级数的前五项计算一个角度的余弦值,可采用子程序的调用方式来实现。调用前先将x弧度值放在数据存储器d_xc单元中,计算结果存放在d_cosx单元中。 ⑶正弦波的实现 利用计算一个角度的正弦值和余弦值程序可实现正弦波。其实现步骤如下:第一步:利用sin_start和cos_start 子程序,计算 45°~0°(间隔为 0.5°)的正弦和余弦值; 第二步:利用sin(2x)=2sin(x)cos(x)公式,计算 90°~0°的正弦值(间隔为1°);第三步:通过复制,获得359°~0°的正弦值; 第四步:将359°~0°的正弦值重复从PA口输出,便可得到正弦波。 在实际应用中,正弦波是通过D/A口输出的。选择每个正弦周期中的样点数、改变每个样点之间的延迟,就能够产生不同频率的波形,也可以利用软件改变波形的幅度以及起始相位。 总体方案设计 1. 总体实现方案 我们知道一个角度为x的正弦和余弦函数,都可以展开为泰勒级数,且其前五项可以看为:

信号发生器实验报告

低频电路课程设计 OCL 功率放大器设计 学院名称: 电气信息工程学院 专 业: 测控技术与仪器 班 级: 08测控1班 姓 名: 朱彬彬 学 号: 08314105 指导老师: 王云松 2010年 6 月20 日 JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 电气信息工程学院

1设计课题:OCL功率放大器 为了保证功率,效率和失真三个指标满足一定的要求,早期的功率放大器多采用变压耦合。这种电路变压器体积大,比较笨重,耗损多,而且高频和低频部分频响特性不好,在引入负反馈时,很容易自激。随着电子技术的发展,后来被无输出变压器的功率放大电路(OTL)代替。在OTL电路中,虽去掉了变压器,但为了能用但电源供电,输出端接了一个大电容,这个大电容影响了电路的低频特性,于是出现了OCL电路。 OCL功放是在OTL功放的基础上发展起来的,它比OTL功放的频带更宽,保真度更高。OCL功放是一种直接耦合的多级放大器,它运用了许多电子器件,包含了多种基本电路形式。 OCL功率放大器采用两组电源供电,使用了正负电源,在电压不太高的情况下,也能获得较大的输出功率,省去了输出端的耦合电容,使放大器低频特性得到扩展,OCL功放电路也是定压式输出电路,其电路由于性能比较好,所以广泛的应用于高保真扩音设备中。 2 主要技术指标 最大不失真输出功率:Pom≥8w 负载阻抗(扬声器):R L=10Ω 频率响应:f=50Hz~20kHz 非线性失真系数:γ≤功率放大器1% 输入灵敏度:Vi≤300mv 稳定性:电源升高和降低20%时,输出零点漂移≤100mv 3实验用仪器: 直流稳压电源一台 低频信号发生器一台 低频毫伏表一台 示波器一台 万用表一台 晶体管图示仪一台 失真度测量仪一台 4电路原理 OC L功率放大器时一种直接耦合的多级放大器,总体可分为三个部分

函数信号发生器实训报告

电子与信息工程 综合实验课程报告 实验名称:基于单片机的信号发生器的设计与实现班级:电子1班 组员:徐丹许艳徐梅 指导教师:张辉 时间:2013-6-8至2011-6-16

目录 前言......................................................................... 错误!未定义书签。 1 波形发生器概述 (2) 1.1波形发生器的发展状况 (2) 1.2国内外波形发生器产品比较 (3) 2 方案论证与比较 (4) 2.1 方案一 (4) 2.2 方案二 (5) 2.3 方案三 (5) 3 硬件原理 (5) 3.1 MCS-51单片机的内部结构 (6) 3.1.1 内部结构概述 (6) 3.1.2 CPU结构 (6) 3.1.3 存储器和特殊功能寄存器 (7) 3.2 P0-P3口结构 (7) 3.3 时钟电路和复位电路 (8) 3.3.1时钟电路 (8) 3.3.2单片机的复位状态 (9) 3.4 DAC0832的引脚及功能 (10) 4 软件原理 (11) 4.1 主流程图 (12) 4.1.1 方波仿真图 (13) 4.1.2 三角波仿真图 (14) 4.1.3 锯齿波仿真图 (15) 4.1.4 梯形波仿真图 (16) 4.1.5 正弦波仿真图 (17) 4.2附录:实物图 (17) 总结 (18) 致谢 (19) 参考文献 (19)

1 波形发生器概述 在电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域,经常需要用到各种各样的信号波形发生器。随着集成电路的迅速发展,用集成电路可很方便地构成各种信号波形发生器。用集成电路实现的信号波形发生器与其它信号波形发生器相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高。 1.1波形发生器的发展状况 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。 在70 年代后,微处理器的出现,可以利用处理器、A/D/和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。 90 年代末,出现几种真正高性能、高价格的函数发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8 中波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecr oy 公司生产的型号为9100 的任意波形发生器等。 到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz 的DDS 芯片,同时也推动了函数波形发生器的发展,2003 年,Agilent 的产品33220A能够产生17 种波形,最高频率可达到20M,2005 年的产品N6030A 能够产生高达500MHz 的频率,采样的频率可达1.25GHz。由上面的产品可以看出,函数波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

模电函数信号发生器实验报告

电子电路模拟综合实验 2009211120 班 09210580(07)号 桂柯易

实验1 函数信号发生器的设计与调测 摘要 使用运放组成的积分电路产生一定频率和周期的三角波、方波(提高要求中通过改变积分电路两段的积分常数从而产生锯齿波电压,同时改变方波的占空比),将三角波信号接入下级差动放大电路(电流镜提供工作电流),利用三极管线性区及饱和区的放大特性产生正弦波电压并输出。 关键词 运放积分电路差动发达电路镜像电流源 实验内容 1、基本要求: a)设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器。 1)输出频率能在1-10KHz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; 3)三角波Uopp=8V; 4)正弦波Uopp>1V。 b)设计该电路的电源电路(不要求实际搭建),用PROTEL软件绘制完整的 电路原理图(SCH) 2、提高要求: a)三种输出波形的峰峰值Uopp均可在1V-10V范围内连续可调。 b)三种输出波形的输出阻抗小于100欧。 c)用PROTEL软件绘制完整的印制电路板图(PCB)。 设计思路、总体结构框图 分段设计,首先产生方波-三角波,再与差动放大电路相连。 分块电路和总体电路的设计(1)方波-三角波产生电路: 正弦波产生电路三角波产生电路 方波产生电路

首先,稳压管采用既定原件2DW232,保证了输出方波电压Uo1的峰峰值为12V,基本要求三角波输出电压峰峰值为8V,考虑到平衡电阻R3的取值问题,且要保证R1/Rf=2/3,计算决定令Rf=12K,R1=8K,R3=5K。又由方波的上升、下降沿要求,第一级运放采用转换速度很快的LM318,Ro为输出限流电阻,不宜太大,最后采用1K欧电阻。二级运放对转换速度要求不是很高,故采用UA741。考虑到电容C1不宜过小,不然误差可能较大,故C1=0.1uF,最后根据公式,Rw抽头位于中点时R2的值约为300欧,进而确定平衡电阻R4的阻值。考虑到电路的安全问题,在滑阻的接地端串接了一个1K的电阻。(注:实际调测时因为滑阻转动不太方便,所以通过不断换滑阻的方式确定适当频率要求下Rw的阻值,我的电路最后使用的是1K欧的滑阻) (2)正弦波产生电路:

EDA课程设计-正弦信号发生器的设计

《EDA技术》设计报告 设计题目正弦信号发生器的设计 院系:信息工程学院 专业:通信工程____ 学号: 姓名:__________

一.设计任务及要求 1.设计任务: 利用实验箱上的D/A 转换器和示波器设计正弦波发生器,可以在示波器上观察到正弦波 2.设计要求: (1) 用VHDL 编写正弦波扫描驱动电路 (2)设计可以产生正弦波信号的电路 (3)连接实验箱上的D/A 转换器和示波器,观察正弦波波形 二.设计方案 (1)设计能存储数据的ROM 模块,将正弦波的正弦信号数据存储在在ROM 中,通过地址发生器读取,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 (2)用VHDL 编写正弦波信号数据,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 三.设计框图 图 1 设计框图 信号发生器主要由以下几个部分构成:计数器用于对数据进行采样,ROM 用于存储待采样的波形幅度数值,TLV5620用于将采集的到正弦波数字量变为模拟量,最后通过示波器进行测量获得的波形。其中,ROM 设置为7根地址线,8个数据位,8位并行输出。TLV5260为串行输入的D/A 转换芯片,因此要把ROM 中并行输出的数据进行并转串。 四.实现步骤 1.定制ROM 计 数 器 7根地址线 8 位 R O M 并转串输出 CLK TLV5620D/A 转换 RST

ROM的数据位选择为8位,数据数选择128个。利用megawizard plug-in manager定制正弦信号数据ROM宏功能块,并将上面的波形数据加载于此ROM中。如图3所示。 图2 ROM存储的数据 图3 调入ROM初始化数据文件并选择在系统读写功能 2.设计顶层

函数信号发生器实验报告

北京邮电大学 电子电路综合设计实验报告 课题名称:函数信号发生器的设计和调试 院系:信息与通信工程学院 班级: 2012211113 姓名:李鸣野 学号:2012210362 班内序号:01 摘要 函数(波形)信号发生器能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。方波-三角波产生电路主要有运放组成,其中由施密特触发器多谐振荡器产生方波,积分电路将方波转化为三角波,差分电路实现三角波-正弦波的变换。该电路振荡频率由第一个电位器调节,输出方波幅度的大小由稳压管的稳压值决定;正弦波幅度和电路的对称性分别由后两个电位器调节。

关键词:方波,三角波,正弦波 基本要求: a)设计一个设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器 1)输出频率能在1-10khz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%--70%; 3)三角波Uopp=8V; 4)正弦波Uopp≥1V。 b)用PROTEL软件绘制完整的电路原理图(SCH) 设计思路: 要产生方波,需要用稳压管和比较器组成方波产生电路。稳压管为实验提供的6v稳压管。方波经过RC积分电路积分得到三角波,幅度为Uo2m=±(UZ+UD),由R1和Rf的比值及稳压管的稳压值决定,实验要求三角波峰峰值为8v,故根据公式推导后,选用20K的电阻作为R1,30K的电阻作为Rf。R3为12K。R4为直流平衡电阻,应与R2保持一致,均为5K。R0为限流电阻,根据实验要求选用2K。 三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。R e取阻值为100Ω,C1、C2、C4为隔直流电容,取C1=C2=C3=33uF。Rp1调节三角波

正弦信号发生器(2012)(DOC)

正弦信号发生器 摘要:本系统以MSP430和DDS为控制核心,由正弦信号发生模块、功率放大模块、频率调制(FM)、幅度调制(AM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生1kHz~10MHz正弦信号;经滤波、放大和功放模块达到正弦信号输出电压幅度 =6V±1V 并具有一定的驱动能力的功能;产生载波信号可设定的AM、FM信号;二进制基带序列码由CPLD产生,在100KHz固定载波频率下进行数字键控,产生ASK,PSK 信号且二进制基带序列码速率固定为10kbps,二进制基带序列信号可自行产生。 关键词:DDS;宽频放大;模拟调频;模拟调幅。 一、方案比较与论证 1.方案论证与选择 (1)正弦信号产生部分 方案一:使用集成函数发生器芯片ICL8038。 ICL8038能输出方波、三角波、正弦波和锯齿波四种不同的波形,将他作为正弦信号发生器。它是电压控制频率的集成芯片,失真度很低。可输入不同的外部电压来实现不同的频率输出。为了达到数控的目的,可用高精度DAC来输出电压以控制正弦波的频率。 方案二:锁相环频率合成器(PLL) 锁相环频率合成器(PLL)是常用的频率合成方法。锁相环由参考信号源、鉴相器、低通滤波器、压控振荡器几个部分组成。通过鉴相器获得输出的信号FO与输入信号Fi的相位差,经低通滤波器转换为相应的控制电压,控制VCO输出的信号频率,只有当输出信号与输入信号的频率于相位完全相等时,锁相环才达到稳定。如果在环路中加上分频系数可程控的分频器,即可获得频率程控的信号。由于输出信号的频率稳定度取决于参考振荡器信号fi ,参考信号fi 由晶振分频得到,晶振的稳定度相当高,因而该方案能获得频率稳定的信号。一般来说PLL的频率输出范围相当大,足以实现1kHz-10MHZ的正弦输出。如果fi=100Hz 只要分频系数足够精细(能够以1步进),频率100Hz步进就可以实现。 方案三:直接数字频率合成(DDS) DDS是一种纯数字化方法。它现将所需正弦波一个周期的离散样点的幅值数字量存入ROM中,然后按一定的地址间隔(相位增量)读出,并经DA转换器形成模拟正弦信号,再经低通滤波器得到质量较好的正弦信号,DDS原理图如图1所示:

51单片机信号发生器实验报告

微控制器技术创新设计实验报告姓名:学号:班级: 一、项目背景 信号发生器也叫做振荡器或是信号源,在现在的科技生产实践中有着广泛而重要的应用。现在的特殊波形发生器在价格上不够经济,有些昂贵。而基于AT89C51单片机的函数信号发生器可以满足此要求。根据傅里叶变换,各种波形均可以用三角函数的相关式子表示出来。函数信号发生器能够产生多种波形,如三角波、锯齿波、矩形波、方波和正弦波。 二、项目整体方案设计 可以利用单片机编程的方法来实现波形的输出。可选用AT89C51作为控制器,输出相应波形的数字信号,再用D/A 转换器输出相应波形的模拟信号。用DAC0832作为D/A转换器,再经过两级放大后输出,最终在示波器上显示。可以使用按键扫描来实现波形的变化

三、硬件设计 四、软件设计 #include #define uchar unsigned char #define uint unsigned int Const tab[]={0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xff,0xff ,0xff,0xff,0xff,0xff,0xff,0xff}; void delay(void) { uchar i; for(i=230;i>0;i--); }

void main() { uchar i; while(1) { for(i=0;i<18;i++) { P1=tab[i]; delay(); } } } 五、实验结果

六、项目总结 通过这次实验设计,锻炼我们综合运用知识,提出问题,分析问题,及解决问题的能力。我感慨颇多,在着手设计的这段日子里,我又学到了很多东西。特别是理论联系实际。我认为掌握单片机的应用及开发技术是最基本的也是必要的。单片机是以后从事相关嵌入式研发最为基本的入门芯片。所以学好单片机是我们电子类的必要任务。通过这次单片机课程设计的顺利完成,离不开付老师指导,也离不开班上同学的耐心帮助。在此,我对所有帮助过我的老师和同学表示我真挚的感谢!

信号发生器分析报告

信号发生器报告

————————————————————————————————作者:————————————————————————————————日期:

基于虚拟仪器的信号发生器的设计 【摘要】虚拟仪器是将仪器技术、计算机技术、总线技术和软件技术紧密的融合在一起,利用计算机强大的数字处理能力实现仪器的大部分功能,打破了传统仪器的框架,形成的一种新的仪器模式。 本次设计主要是阐述虚拟信号发生器的前面板和程序框图的设计。设计完的信号发生器的功能包括能够产生正弦波、矩形波、三角波、锯齿波四种信号波形;波形的频率、幅值、相位、偏移量及占空比等参数由前面板控件实时可调。 【关键词】虚拟仪器,信号发生器,LABVIEW 引言 信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。 1.信号发生器的发展 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或用作脉冲调制器的脉冲信号发生器。由于早期的信号发生器机械结构比较复杂,功率比较大,电路比较简单,因此发展速度比较慢。直到1964年才出现第一台全晶体管的信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形,由于模拟电路的漂移较大,使其输出的波形的幅度稳定性差,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形则电路结构非常复杂。自从70年代微处理器出现以后,利用微处理器、模数转换器和数

正弦信号发生器

正弦信号发生器[2005年电子大赛一等奖] 2008年06月15日星期日 17:06 摘要:以SPCE061A单片机为核心,通过DDS合成技术设计制作了一个步进值能任意调节的多功能信号源。该信号源在1KHz~10MHz范围能输出稳定可调的正弦波,并具有AM、FM、ASK和PSK等调制功能。信号输出部分采用低损耗电流反馈型宽带运放作电压放大,很好地解决了带宽和带负载能力的要求。系统带中文显示和键盘控制功能,操作简便,实现效果良好。 一、方案论证 1、信号产生 方案一:使用传统的锁相频率合成的方法。要求产生1KHz到10MHz的信号,用锁相环直接产生这么宽的范围很困难,所以先产生50.001M到60M的可调信号,然后把此信号与一个50M的本振混频,得到需要的频率。此方法产生的频率稳定度高,但波形频谱做纯很困难,幅度也不恒定,实现也麻烦。 方案二:采用专用DDS芯片产生正弦波。优点:软件设计,控制方便,电路易实现,容易直接达到题目要求的频率范围和步进值,且稳定性和上法一样,频谱纯净,幅度恒定,失真小。 综上所述,选择方案二用专用DDS芯片AD9850产生正弦波。AD9850是采用DDS技术、高度集成化的器件,当它在并行工作方式时,有8根数据线、3根控制线与单片机相连。AD9850的频率控制字为: 其中FTW为频率控制字,为要输出的正弦的频率,为系统时钟的频 率,由晶振产生。 2、模拟频率调制 方案一:使用内调制(软件调制),通过单片机中断,对外来模拟调制信号进行采样,采样速率为32KHz,然后对采样值进行转换,把电压转换成对应的频偏,然后转换成相应的频率控制字送DDS,以实现对1KHz正弦信号的调频,这样可以满足最大频偏的精度要求。 方案二:使用外调制,通过锁相环控制DDS总时钟,在锁相环电路中进行频率调制,来改变DDS输出信号频率,间接实现调频,这样实现简单,频域内频谱连续,但是很难做到精确的10KHz和5KHz的最大频偏。 综合以上方案,选择方案一,实际中要求调制信号是固定不变的1KHz正弦信号,所以,我们直接把正弦信号存储在单片机中,并且换算好频率控制字。 3、模拟幅度调制 方案一:使用二极管调幅电路。较常用的二极管调幅电路有二极管平衡调幅电路和二极管环形调幅电路。但由于二极管的特性不一致,会造成电路不可能完全对称,造成控制信号的泄漏。 方案二:充分利用单片机SPCE061A的资源,1K的调制信号使用单片机的DA 口输出,经滤波放大后送MC1496与DDS产生的载波进行混频,这样效果非常好,而且成本低。 综合以上方案,选择方案二。 4、ASK和PSK数字调制

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

正弦波信号发生器设计(课设)

课程设计I(论文)说明书 (正弦波信号发生器设计) 2010年1月19日

摘要 正弦波是通过信号发生器,产生正弦信号得到的波形,方波是通过对原信号进行整形得到的波形。 本文主要介绍了基于op07和555芯片的正弦波-方波函数发生器。以op07和555定时器构成正弦波和方波的发生系统。Op07放大器可以用于设计正弦信号,而正弦波可以通过555定时器构成的斯密特触发器整形后产生方波信号。正弦波方波可以通过示波器检验所产生的信号。测量其波形的幅度和频率观察是否达到要求,观察波形是否失真。 关键词:正弦波方波 op07 555定时器

目录 引言 (2) 1 发生器系统设计 (2) 1.1系统设计目标 (2) 1.2 总体设计 (2) 1.3具体参数设计 (4) 2 发生器系统的仿真论证 (4) 3 系统硬件的制作 (4) 4 系统调试 (5) 5 结论 (5) 参考文献 (6) 附录 (7) 1

引言 正弦波和方波是在教学中经常遇到的两种波形。本文简单介绍正弦波和方波产生的一种方式。在这种方式中具体包含信号发生器的设计、系统的论证、硬件的制作,发生器系统的调制。 1、发生器系统的设计 1.1发生器系统的设计目标 设计正弦波和方波发生器,性能指标要求如下: 1)频率范围100Hz-1KHz ; 2)输出电压p p V ->1V ; 3)波形特性:非线性失真~γ<5%。 1.2总体设计 (1)正弦波设计:正弦波振荡电路由基本放大电路、反馈网络、选频网 络组成。

2 图1.1 正弦波振荡电路产生的条件是要满足振幅平衡和相位平衡,即AF=1; φa+φb=±2nπ;A=X。/Xid; F=Xf/X。;正弦波振荡电路必须有基本放大电路, 本设计以op07芯片作为其基本放大电路。 基本放大电路的输出和基本放大电路的负极连接电阻作为反馈网络。反馈网络中 两个反向二极管起到稳压的作用。振荡电路的振荡频率f0是由相位平衡条件决 定的。一个振荡电路只在一个频率下满足相位平衡条件,这要求AF环路中包含 一个具有选频特性的选频网络。f0=1/2πRC。要实现频率可调,在电容C不变的 情况下电阻R可调就可以实现频率f0的变化。 (2)方波设计:方波可以把正弦波通过斯密特触发器整形后产生。基于555定时器接成的斯密特触发器。 设斯密特触发器输出波形为V1,V2且V1>V2。 输入正弦波v1从0逐渐升高的过程:v1<1/3Vcc时,输出v0=V1; 当1/3Vcc2/3Vcc时,v0=V2; 输入正弦波v1从高于2/3Vcc开始下降的过程:当1/3Vcc

信号发生器实验报告

电子线路课程设计报告设计题目:简易数字合成信号发生器 专业: 指导教师: 小组成员:

数字合成信号发生器设计、调试报告 一:设计目标陈述 设计一个简易数字信号发生器,使其能够产生正弦信号、方波信号、三角波信号、锯齿波信号,要求有滤波有放大,可以按键选择波形的模式及周期及频率,波形可以在示波器上 显示,此外可以加入数码管显示。 二、完成情况简述 成功完成了电路的基本焊接,程序完整,能够实现要求功能。能够通过程序控制实现正弦波的输出,但是有一定噪声;由于时间问题,我们没有设计数码管,也不能通过按键调节频率。 三、系统总体描述及系统框图 总体描述:以51单片机开发板为基础,将输出的数字信号接入D\A转换器进行D\A转换,然后接入到滤波器进行滤波,最后通过运算放大器得到最后的波形输出。 四:各模块说明 1、单片机电路80C51 程序下载于开发板上的单片机内进行程序的执行,为D\A转换提供了八位数字信号,同时为滤波器提供高频方波。通过开发板上的232串口,可以进行软件控制信号波形及频率切换。通过开发板连接液晶显示屏,显示波形和频率。 2、D/A电路TLC7528 将波形样值的编码转换成模拟值,完成单极性的波形输出。TLC7528是双路8位数字模拟转换器,本设计采用的是电压输出模式,示波器上显示波形。直接将单片机的P0口输出传给TLC7528并用A路直接输出结果,没有寄存。 3、滤波电路MAX7400 通过接收到的单片机发送来的高频方波信号(其频率为所要实现波频率的一百倍)D转换器输出的波形,对转换器输出波形进行滤波并得到平滑的输出信号。 4、放大电路TL072

TL072用以对滤波器输出的波进行十倍放大,采用双电源,并将放大结果送到示波器进行波形显示。 五:调试流程 1、利用proteus做各个模块和程序的单独仿真,修改电路和程序。 2、用完整的程序对完整电路进行仿真,调整程序结构等。 3、焊接电路,利用硬件仿真器进行仿真,并用示波器进行波形显示,调整电路的一些细节错误。 六:遇到的问题及解决方法 遇到的软件方面的问题: 最开始,无法形成波形,然后用示波器查看滤波器的滤波,发现频率过低,于是检查程序发现,滤波器的频率设置方面的参数过大,延时程序的参数设置过大,频率输出过低,几次调整好参数后,在进行试验,波形终于产生了。 七:原理图和实物照片 波形照片:

实验1 示波器函数信号发生器的原理及使用(实验报告之实验数据表)

实验1 示波器、函数信号发生器的原理及使用 【实验目的】 1. 了解示波器、函数信号发生器的工作原理。 2. 学习调节函数信号发生器产生波形及正确设置参数的方法。 3. 学习用示波器观察测量信号波形的电压参数和时间参数。 4. 通过李萨如图形学习用示波器观察两个信号之间的关系。 【实验仪器】 1. 示波器DS5042型,1台。 2. 函数信号发生器DG1022型,1台。 3. 电缆线(BNC 型插头),2条。 【实验内容与步骤】 1. 利用示波器观测信号的电压和频率 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-1所示的正余弦波形,显示在示波屏上。 图1-1 函数信号发生器生成的正、余弦信号的波形 学生姓名/学号 指导教师 上课时间 第 周 节

(2)用示波器对图1-1中所示的正余弦波形进行测量并填写下表 表1-1 正余弦信号的电压和时间参数的测量 电压参数(V)时间参数 峰峰值最大值最小值频率(Hz)周期(ms)正弦信号 3sin(200πt) 余弦信号 3cos(200πt) 2. 用示波器观测函数信号发生器产生的正余弦信号的李萨如图形 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-2所示的正余弦波形的李萨如图形,调节并正确显示在示波屏上。 图1-2 正弦信号3sin(200πt)和余弦信号3cos(200πt)的李萨如图形 3. 观测相同幅值、相同频率、不同相位差条件下的两正弦信号的李萨如图形 (1)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+45o),观测并记录两正弦信号的李萨如图形于图1-3中。 (2)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+135o),观测并记录两正弦信号的李萨如图形于图1-3中。

信号发生器实验报告(终)

南昌大学实验报告 学生姓名:王晟尧学号:6102215054专业班级:通信152班 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p=6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶 m 体管的截止电压值。 图4 三角波→正弦波变换电路

相关主题
文本预览
相关文档 最新文档