当前位置:文档之家› 电子科技大学集成电路实验报告——模拟集成电路

电子科技大学集成电路实验报告——模拟集成电路

电子科技大学集成电路实验报告——模拟集成电路
电子科技大学集成电路实验报告——模拟集成电路

CMOS 模拟集成电路设计及HSPICE 使用

实验学时:4学时

实验一 CMOS 工艺参数测量 一、实验目的:

学习和掌握EDA 仿真软件Hspice ;了解CMOS 工艺技术及元器件模型,掌握MOSFET 工作原理及其电压电流特征;通过仿真和计算,获得CMOS 中NMOS 和PMOS 的工艺参数,,,,,p n p n tp tn k k V V λλ,为后续实验作准备。

二、实验内容:

1) 通过Hspice 仿真,观察NMOS 和PMOS 管子的I-V 特性曲线;

2)对于给定长宽的MOSFET ,通过Hspice 仿真,测得几组栅-源电压、漏-源电压和漏-源电流数据,代入公式21()()(1)2DSn n n GS tn n DS W

I K V V V L

λ=

-+,求得对应的工艺参数,,,,,p n p n tp tn k k V V λλ 。

三、实验结果:

本实验中所测试的NMOS 管、PMOS 管L=1u ,W 由学号确定。

先确定W 。W 等于学号的最后一位,若学号最后一位=0,则W=10u 。所以,本实验中所测试的NMOS 管、PMOS 管的尺寸为:L=1u ,W=( 8 )u 。

(1) 测0.5um 下NMOS 和PMOS 管的I-V 特性曲线

所用工艺模型是 TSMC 0.50um 。

所测得的Vgs=1V 时,NMOS 管Vds 从0V 到2.5V 变化时的I-V 特性曲线为:

所测得的Vds=1.2V时,NMOS管Vgs从0V到2.5V变化时的I-V特性曲线为:

所测得的Vsg=1V时,PMOS管Vsd从0V到2.5V变化时的I-V特性曲线为:

所测

得的Vsd=1.2V时,PMOS管Vsg从0V到2.5V变化时的I-V特性曲线为:

(2)计算TSMC 0.50um工艺库下mos管对应的工艺参数

测试NMOS管相关参数,Hspice中仿真用源文件(.sp文件)为:NOMS I-V Characteristic

M1 OUT IN 0 0 CMOSn L=1U W=8U

VIN IN 0 1

VOUT OUT 0 1.2

.OPTIONS LIST NODE POST

*.DC VOUT 0 2.5 0.1

.DC VIN 0 2.5 0.1

*.DC VOUT 0 2.5 0.1 VIN 0.8 1.0 0.2

.PRINT DC I(M1)

.LIB "C:\synopsys\project\tsmc_050um_model.lib" CMOS_MODELS .END

所测得的NMOS 管电流曲线为:

所测的数据如下表:

根据公式21()()(1)2DSn n n GS tn n DS I K V V V L

λ=

-+,计算,,n n tn k V λ,分别为: -611910,0.028, 1.37n n tn k V λ≈?≈≈

测试PMOS 管相关参数,Hspice 中仿真用源文件(.sp 文件)为: POMS I-V Characteristic

M1 OUT IN Vdd Vdd CMOSP L=1U W=8U

VIN Vdd IN 1 VOUT Vdd OUT 1.2

.OPTIONS LIST NODE POST *.DC VOUT 0 2.5 0.1 .DC VIN 0 2.5 0.1

*.DC VOUT 0 2.5 0.1 VIN 0.8 1.0 0.2

.PRINT DC I(M2)

.LIB "C:\synopsys\project\tsmc_050um_model.lib" CMOS_MODELS .END

所测得的PMOS 管电流曲线为:

所测的数据如下表:

计算TSMC 0.50um 工艺中 pmos 参数p p tp ,分别为:

-654.8910,0.017,0.927p p tp K V λ≈?≈≈

综上所述,可得:

四、思考题

2) 不同工艺,,p n λλ不同。较小的工艺,λ值较大,为什么?

答:减小量为△L,那么实际沟道长度就为L'=L-△L ,L'实际上是Vds 的函数,1/L'≈(1+△L/L )/L ,假设△L/L 和Vds 之间是线性关系,△L/L=λVds ,通常用λ表示沟道长度调制系数。沟道长度调制使Id/Vds 的特性曲线出现非零斜率这样就使得源极和漏极之间的电流源不理想。参数λ表示给定的Vds 增量所引起的沟道长度的相对变化量,所以对于较短的沟道(较小的工艺)λ较大。

实验二 CMOS 差分放大器设计

1. 实验目的

学习和掌握CMOS 差分放大器的增益估算的基本原理;了解CMOS 差分放大器的-3dB 频率特性;学习和掌握计算CMOS 差分放大器ICMR 的方法;熟练掌握CMOS 差分放大器的设计步骤和仿真方法。

2. 实验内容

用TSMC 0.5um 工艺设计差分放大器,要求满足以下条件:

电源电压5dd V V =

转换速率10/(5)L SR V us C pF ≥= -3dB 带宽3100(5)dB L f KHz C pF -≥= 小信号增益60/A V V ≥

输入共模范围[1.7,3.3]ICMR V V = 功耗1diss P mW ≤

TSMC 0.5um 工艺参数可用实验一中所测值,如下表所示:

PMOS 电流镜像负载的差分集成放大器的电路如下图所示。

PMOS 电流镜像负载差分放大器

根据设计指标要求,计算PMOS 电流镜像负载差分放大器中所有NMOS 和PMOS 的物理大小(宽长比)。

1)由10/(5)L SR V us C pF ≥=

,可得5DS I 的下限值;

510/550DS I V us pF uA ≥?=

2)由1diss P mW ≤

,可得5DS I 上限值;

512005DS mW

I uA V

= 3)检查频率要求,并设定5DS I 一个值:

31318.32out db L

R K f C π-≤

5

2

318.3()out n p DS R K I λλ=

≤Ω+?

548.3DS I uA ≥

所以为了满足-3dB 带宽要求,必须5

48.3DS I uA ≥,这里取 5100DS I uA =。

4)根据共模输入最大值31(max)IC DD SG TN V V V V =-+,计算PMOS M3和M4的宽长比:

33.350.57sg V V V V

=-+

→3

2.27sg V V

=

3 2.27sg tp V V V ==

+ → 34

1.07W W L L ????=≈ ? ?????

5)根据小信号增益要求,计算NMOS M1和M2的宽长比;

225

1

2()15.674n p DS n

A I W W L L K λλ+????==

≈ ? ?????

6)根据共模输入范围的下限值51(min)()IC DS GS V V sat V =+,计算NMOS M5的宽长比;

5151.7()()0.260.57DS GS DS V V sat V V sat =+=++

→5()0.87DS V sat V =

→52

55

2 2.72(())DS n DS I W L K V sat ??

=≈ ??? 7)以上计算已满足所有设计要求,故不需重复以上步骤。

8)采用TSMC 0.5um 工艺,故选择放大器的所有管子的长L=1um ,所以有:

12

34

5

15.671.072.71121W W L L um W um um

um W L L um W L um ????==

? ?????????==

? ???????=

??? 计算完成后,用hspice2008仿真软件仿真验证并调整放大器的NMOS 和PMOS 的尺寸。 1) PMOS 电流镜负载差分放大器的hspice 仿真程序(.sp 文件)为:

2) 查看尾电流5DS I 波形,看是否满足功耗diss P 和转换速率SR 要求,如图所示:

uA 725=DS I

5720.361diss P V uA mW mW

=?=<

7214.4/10/5uA

SR V us V us pF =

≈>

是 (是/不)满足要求。

检查差分集成放大器的小信号增益和频率f-3dB 反应。所得波形图如图所示: 测得-3dB 频率和小信号增益为:

KHz 2093=-dB f

V /V 1.67=A

是 (是/不)满足要求。

输入1mv 、100kHz 信号,仿真后的输出信号如下图:

输入100mv 、100kHz 信号,仿真后的输出信号如下图:

比较上面两个波形,为什么输出信号会失真? 答:输入电压过大出现削底失真。

3. 思考题

1) 当增加增益,差分放大器的频率特性将如何变化? 答:由下公式可知增加增益,差分放大器的-3dB 频率变小

L out dB out m C R f R 1g A 31v =

=-

2) 如何增大Input Common Mode Range(ICMR)? 答:在输入端选用电阻分压后放大

实验三 CMOS 两级运算放大器设计 1.实验目的

学习和掌握CMOS 运算放大器基本原理及应用;掌握和应用CMOS 运算放大器增益估算与反馈;学习和掌握CMOS 运算放大器稳定补偿设计(Compensation and Stability Design);熟练掌握CMOS 运算放大器的设计步骤和仿真方法。

2.实验内容

用TSMC 0.50um 工艺设计一个两级运算放大器,要求满足以下条件: 电源电压V

V dd 5=

相位裕度Phase Margin=60°

转换速率)5(/100pF C us V SR L =≥ 单位增益带宽)5(10pF C MHz GBW L ==

小信号增益V V A /1000≥ 输入共模范围]5.3,5.1[V V ICMR = 输出电压范围]7.4,3.0[V V Range Vout = 功耗mW P diss 10≤

TSMC 0.5um 工艺参数可用实验一中所测值,如下表所示:

3.实验结果

步骤1 两级运算放大器采用如图3-1所示的结构,计算满足以上条件的所有NMOS 和 PMOS 的物理大小(长和宽)。

1) 选择1um 为所有管子的长度 2) 要求相位裕度为60度,所以

616

102.2m m m L

g g g C ≥≥

综合可得0.220.225 1.1C L C C pF pF ≥=?=取 1.5C C pF =

3) 由给定的SR 和确定的C C ,可以确定5D I

5

5150D D C C

I SR I SR C uA C =

?=?= 5D I 最小为150uA ,这里取5D I 为200uA

4) 由共模电压最大值可以确定M3(M4)管的尺寸,

52

3,13 2.89()

D p DD CM MAX TH TH I W L K V V V V ??

=≈ ?-+-?? 此时M3(M4)管的跨导为:

443() 1.610m m g g S -==?

5)确定1m g

由单位增益带宽GBW ,可得1

m g 59.4210S -≥?

假设第一级增益为1

30/v A V V =

22

5

1

()7.844n p DS n A I W L K λλ+??=

≈ ???

此时,2

41() 3.910m m g g S -==?,满足单位增益带宽的要求

6)确定M5尺寸

5

1.50.570.42DS V V

=-

-=

52

5223.7()

D n DS I W L K V ??

=≈ ???

7)下面设计共源放大级,同时确定6m g

取36

115 5.8510m m g g S -==?

36

4

644

5.85102.89105.691.610m m g W W L L g --?????==? ? ?????? 假设第二级增益为

240/v A V V

=

6

6

22

24904()p D v n p W K L I uA A λλ??

?

??=≈+ 因为6

7D D I I =所以可以求得:

6

755

107.12D D I W W L L I ????=≈ ? ?

???? 电压增益:

16

2

57214931000()

m m V D D n p g g A I I λλ=≈≥+

静态功耗:

57()5(200904) 5.5210diss DD D D P V I I uA uA mW mW

=?+=?+=≤

步骤2 用计算所获得的NMOS 和PMOS 的尺寸,用Hspice2008仿真验证和调整此运放的各个管子的尺寸。

经过多次仿真及调整,得到各个管子最终参数 两级运算放大器的Hspice 仿真文件为:

仿真结果及结果分析

1> 查看.lis 文件,可以看出每个管子都工作在饱和区:

查看增益:

1020/1000/3410A V V V V

GBW MHz MHz

=≥≈≥

查看M5管电流:

5

188150D I uA uA =≥ ,转换速率5

125100/D c

I SR V us C ==≥ 查看M6管电流:

由图看出651D D I I mV

==

则静态功耗:

57()5(1881000) 5.9410diss DD D D P V I I uA uA mW mW =?+=?+=≤

单位增益对应频率值的输出电压相位为60度。

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

集成电路实验报告报告—2008301200188王晓东

武汉大学教学实验报告 实验名称集成电路实验指导教师孙涛姓名王晓东年级08 学号2008301200188 成绩 一、预习部分 1.实验目的 2.实验基本原理 3.主要仪器设备(含必要的元器件、工具)

实验一:Shell命令与Solaris9桌面管理 一.实验目的 了解Sorlaris 平台发展历史,Unix 操作系统的主要三个部分。掌握Unix 的Shell 基本命令,公共桌面管理(Common Desk Environment)基本操作,Unix 的文件管理。 二.预备知识与实验原理 计算机基本知识,Unix 操作系统发展的历史、特点,基本UNIX Shell 文件管理命令(见本章第一节)。 三.实验设备与软件平台 Unix 服务器,工作站。 四.实验内容与要求 熟悉三种UnixShell,及基本文件管理命令行命令: 掌握UnixShell 的基本命令、使用、参数意义;并学会使用帮助; 熟悉Unix 文件管理系统; 基本掌握Sorlaris 公共桌面管理平台(CDE)。 五.实验步骤 1. 分别完成并熟练掌握如下实验内容(参阅第一节内容) Bourneshell($) Kornshell($) Cshell(%) ls 显示文件名 cd 目录转换 mkdir 创建目录 rmdir 删除目录 cp 文档复制 find 文件查找 vi 编辑器 geidt 编辑器 man 帮助 exit 系统退出 reboot 系统重启 pwd 显示当前路径 二、实验操作部分 1.实验操作过程(可用图表示) 2.结论

2. Sorlaris 操作系统的三个基本组成,熟悉命令行下的文件管理,子目录等。 3. CDE(公共桌面环境) (1)geidt 编辑文本文件 (2)在CDE 下运行可执行程序 (3)文件管理 思考题 1.简述UNIX 操作系统的三个组成部分。 答:UNIX 操作系统是基于文件的,其三个主要部分是Kernel(内核)、Shell、文件系统。Kernel是操作系统的核心,Shell是用户与kernel之间的接口。它就像是命令的解释器或翻译器。Solaris环境的文件结构是分层的目录树结构,类似于DOS的文件结构。2.简述UNIX 演化过程和特点。 答:最早的计算机都采用的是批处理的方式,耗费的时间和财力都比较大,为克服这一缺点,贝尔实验室研制了一种较为简单的操作系统即UNIX。随着许多商业机构和学术机构的加入,使UNIX得到了迅速的发展。直至今天拥有强大功能、性能良好的的UNIX 系统。 UNIX系统具有可移植性好、可靠性高、伸缩性强、开放性好、网络功能强、数据库支持强大、用户界面良好、文本处理工具强大而完美、开发环境良好、系统审计完善、系统安全机制强、系统备份功能完善、系统结构清晰、系统的专业性和可制定性强的特点。 3.何为UNIX shell?有那些常用shell 命令? 答:UNIX Shell 是Unix 内核与用户之间的接口,是Unix 的命令解释器。常用的shell 命令有Bourne Shell(sh)、Korn Shell(ksh)、C Shell(csh)、Bourne-again Shell (bash)。 实验二:Tcl脚本命令与编程——从1到100的累加 一. 实验目的 掌握Tcl 基本命令,脚本编程的语法,数据类型、控制结构命令,以及基本Tcl 脚本 编程。 二. 预备知识与实验原理 见本章第二节,Tcl/Tk 脚本基础。 三. 实验设备与软件平台 UNIX 服务器一台,工作站数台,Tcl 8.3.2。 四. 实验要求 (1)掌握Tcl 的基本语法、命令结构。 (2)编写脚本程序实现1 到100 的累加。 五. 实验步骤 阅读第二节内容并完成如下实验:

集成电路设计基础_期末考试题

集成电路设计基础 2010-11年第一学期试题 一、填空题(20分) 1、目前,国内已引进了12英寸0.09um 芯片生产线,由此工艺线生产出来的集成 电路特征尺寸是0.009um (大 小),指的是右图中的W (字 母)。 2、CMOS工艺可分为p阱、n阱、双阱 三种。 在CMOS工艺中,N阱里形成的晶体管是p (PMOS,NMOS)。 3、通常情况下,在IC中各晶体管之间是由场氧来隔离的;该区域的形成用到的制造工艺是氧化工艺。 4.集成电路制造过程中,把掩膜上的图形转换成晶圆上器件结构一道工序是指光 刻,包括晶圆涂光刻胶、曝光、显影、烘干四个步骤; 其中曝光方式包括①接触式、②非接触式两种。 5、阈值电压V T是指将栅极下面的si表面从P型Si变成N型Si所必要的电压,根据阈值电压的不同,常把MOS区间分成耗尽型、增强型两种。降低V T 的措施包括:降低杂质浓度、增大Cox 两种。 二、名词解释(每词4分,共20分) ①多项目晶圆(MPW) ②摩尔定律 ③掩膜 ④光刻

⑤外延 三、说明(每题5分共10分) ①说明版图与电路图的关系。 ②说明设计规则与工艺制造的关系。 四、简答与分析题(10分) 1、数字集成电路设计划分为三个综合阶段,高级综合,逻辑综合,物理综合;解释这 三个综合阶段的任务是什么? 2、分析MOSFET尺寸能够缩小的原因。 五、综合题(共4小题,40分) 1、在版图的几何设计规则中,主要包括各层的最小宽度、层与层之间的最小间距、各 层之间的最小交叠。把下图中描述的与多晶硅层描述的有关规则进行分类: (2)属于层与层之间的最小间距的是: (3)属于各层之间的最小交叠是: 2.请提取出下图所代表的电路原理图。画出用MOSFET构成的电路。

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

集成电路实验报告 (2)

实验 3 使用T-Spice 进行单元电路的瞬时分析3.1 实验目的及要求 1.进一步熟悉Tanner Pro 软件中T-Spice 软件的使用; 2.掌握使用T-Spice 分析简单电路的方法与操作流程,从而学会分析较为复杂的逻辑电路。 3.2 实验内容 3.2.1 反相器瞬时分析 (1)打开S-Edit,由于本实例中所使用的电路需要在反相器电路的基础上进行适当修改,为不影响后面的版图设计,同学们可以建立新文件EX3,将EX2 中反相器模块复制到EX3 文件中,再打开加入电源进行适当修改即可。反相器电路设计较为简单,在此只是教大家掌握复制模块的方法,希望大家掌握。 (2)复制inv 模块方法如下:先打开实验 2 中设计的“EX2.sdb”。进行复制前必须回到EX3 文件环境,方法为选择Module->Open 命令,打开Open Module 对话框,在Files下拉列表中选择EX3,单击OK 回到EX3 环境,才能进行复制模块操作。选择Module->Copy命令,打开Copy Module 对话框,在下拉列表中选择EX2 选项,在Select Module To Copy列表中选择inv 选项,单击OK 按钮即可。 (3)加入工作电源:inv 模块在电路设计模式下,选择Moudle->Symbol Browser 命令,在Library 列表框中选择spice 组件库,其中有很多电压源符号,选取直流电压源Source_v_dc 作为此电路的工作电压源。直流电压源Source_v_dc 符号有正(+)端与负(-)端。在inv 模块编辑窗口中直流电压源有两种接法可以直接连线接到原电路图的Vdd 与Gnd,也可另外复制两个Vdd 与Gnd(Ctrl+C 复制Ctrl+V 粘贴)接到电压源正负极,虽然两个全域符号Vdd 与Gnd 符号分开放置,但两个分离的Vdd 符号实际上是接到同一个节点,而两个Gnd 符号也是共同接地的。 (4)加入输入信号:选择Moudle->Symbol Browser 命令,在Library 列表框中选择spice 组件库,选取脉冲电压源Source_v_pulse 作为反相器输入信号,将脉冲电压源Source_v_pulse 符号的正端接输入端口in,负端接Gnd,编辑完成。为避免文件混杂且便于分辨可将原模块名称改为“inv_tran”,方便日后应用于其他的分析中。 (5)输出成SPICE 文件:此操作有两种方法前面已经介绍过了,可以直接单击S-Edit右上方的按钮,则会自动输出成SPICE 格式并打开T-Spice 程序。 (6)加载包含文件:由于不同的流程有不同的特性,在模拟之前必须要引入MOS 组件的模型文件,此模型文件内有包括电容电阻系数等数据,以供T-Spice 模拟之用。本实验是引用 1.25um 的CMOS 流程组件模型文件“m12_125.md”。鼠标移至主要电路前,选择Edit->Insert Command 命令或点击,打开T-Spice Command Tool 对话框,在左边列表框中选择Files选项。此时窗口将出现3个选项,单击Include Files按钮,点击下方的CreateCommand 按钮,在\tanner EDA\T-Spice Pro\models 下找到m12_125.md 文件,点击InsertCommand 添加即可。添加完成出现如下指令:.include “C:\ProgramFiles\Tanner EDA\T-Spice Pro\models\ml2_125.md”

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

模拟集成电路实验报告

CMOS放大器设计实验报告 一、实验目的 1.培养学生分析、解决问题的综合能力; 2.熟悉计算机进行集成电路辅助设计的流程; 3.学会适应cadence设计工具; 4.掌握模拟电路仿真方法 6.掌握电子电路、电子芯片底层版图设计原则和方法; 7.掌握使用计算机对电路、电子器件进行参数提取及功能模拟的过程; 8.熟悉设计验证流程和方法。 二、实验原理 单级差分放大器结构如下图所示: 在电路结构中,M2和M3组成了NMOS差分输入对,差分输入与

单端输入相比可以有效抑制共模信号干扰;M0和M1电流镜为有源负载,可将差分输入转化为单端输出;M5管提供恒定的偏置电流。三、实验要求 设计电路使得其达到以下指标: 1.供电电压: 2.输入信号:正弦差分信号 3.共模电压范围为 4.差分模值范围 5.输出信号:正弦信号 6.摆率大于 7.带宽大于 8.幅值增益: 9.相位裕度: 10.功耗: 11.工作温度: 四、差分放大器分析

1、直流分析 为了使电路正常工作,电路中的MOS管都应处于饱和状态。 1.1 M2管的饱和条件: 1.2 M4管的饱和条件: 2.小信号分析 小信号模型如下:

由图可得: 2.1 增益分析 其中 2.2 频率响应分析由小信号模型易知: 其中 3.电路参数计算3.1确定电流 根据摆率指标:

根据功耗指标易知: 根据带宽指标: 综上,取: 3.2宽长比的确定 M4与M5:电流源提供的电流为,参数设为,根据电流镜原理,可以算出 M2与M3: 带入数据可得 取值为20,则取 M0与M1:这两个PMOS管对交流性能影响不大,只要使其下方的

《模拟集成电路设计》复习

《模拟集成电路设计》复习 答疑安排: 第13周星期二(5月29日),上午9:00-11:30,下午14:30-17:00,工三310 考试题型: 七道大题:第2章一题,第3、4章各两题,第5章一题,第6、7章共一题 考试注意事项: 所有题目采用课本P32表2.1的数据,V DD=3V,C OX=3.84 10-7F/cm2,忽略漏/源横向扩散长度L D。试题会给出所需参数值。 时刻区分大信号、小信号。 时刻注意是否考虑二级效应。 题目有“推导”两字时,需给出求解过程。 必考:画小信号等效电路 复习题 例2.2补充问题:(1)分析MOS工作区间变化情况;(2)画出I D-V DS 曲线;(3)推导线性区跨导表达式。 习题2.2注意:跨导的单位。

习题2.3补充问题:给定参数值,计算本征增益的数值。注意:画曲线时需考虑λ与L的关系。 例3.5 补充问题:画出图3.21(b)电路的小信号等效电路,推导增益表达式。 习题3.2问题(b)删去。补充问题:求R out。 习题3.12解题思路:I1→V out→V GS2→(W/L)2→A v 习题3.14 输出摆幅=V DD-V OD1-|V OD2|。 解题思路:A v,R out→g m1→(W/L)1→V OD1→|V OD2|→(W/L)2 第4章课件第49页的题目差模增益-g m1(r o1||r o3),共模增益0,共模抑制比+∞ 例4.6 习题4.18 只要求图4.38(a)-(d)。补充问题:画出半边电路。注意:画半边电路时去掉电流源M5。 习题4.25 计算过驱动电压V OD时忽略沟道长度调制效应。注意双端输出摆幅为单端时的2倍。 习题5.1问题(e)删去。问题(c)和(d)有简单的计算方法。 习题5.5问题(b)(c)删去。λ=0。 例6.4补充问题:画出低频小信号等效电路,推导低频小信号增益;写出C D、C S分别包含哪些MOS电容。 习题6.9 只要求图6.39(a)(b)(c)。 例7.11只计算热输入参考噪声电压。 习题7.11补充问题:推导小信号增益。

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

模拟集成电路复习

1、 研究模拟集成电路的重要性:(1)首先,MOSFET 的特征尺寸越来越小,本征速度越来 越快;(2)SOC 芯片发展的需求。 2、 模拟设计困难的原因:(1)模拟设计涉及到在速度、功耗、增益、精度、电源电压等多 种因素间进行折衷,而数字电路只需在速度和功耗之间折衷;(2)模拟电路对噪声、串扰和其它干扰比数字电路要敏感得多;(3)器件的二级效应对模拟电路的影响比数字电路要严重得多;(4)高性能模拟电路的设计很少能自动完成,而许多数字电路都是自动综合和布局的。 3、 鲁棒性就是系统的健壮性。它是在异常和危险情况下系统生存的关键。所谓“鲁棒性”, 是指控制系统在一定的参数摄动下,维持某些性能的特性。 4、 版图设计过程:设计规则检查(DRC )、电气规则检查(ERC )、一致性校验(LVS )、RC 分布参数提取 5、 MOS 管正常工作的基本条件是:所有衬源(B 、S )、衬漏(B 、D )pn 结必须反偏 6、 沟道为夹断条件: ?GD GS DS T DS GS TH H V =V -≤V V V -V ≥V 7、 (1)截止区:Id=0;Vgs

CMOS数字集成电路设计_八位加法器实验报告

CMOS数字集成电路设计课程设计报告 学院:****** 专业:****** 班级:****** 姓名:Wang Ke qin 指导老师:****** 学号:****** 日期:2012-5-30

目录 一、设计要求 (1) 二、设计思路 (1) 三、电路设计与验证 (2) (一)1位全加器的电路设计与验证 (2) 1)原理图设计 (2) 2)生成符号图 (2) 3)建立测试激励源 (2) 4)测试电路 (3) 5)波形仿真 (4) (二)4位全加器的电路设计与验证 (4) 1)原理图设计 (4) 2)生成符号图 (5) 3)建立测试激励源 (5) 4)测试电路 (6) 5)波形仿真 (6) (三)8位全加器的电路设计与验证 (7) 1)原理图设计 (7) 2)生成符号图 (7) 3)测试激励源 (8) 4)测试电路 (8) 5)波形仿真 (9) 6)电路参数 (11) 四、版图设计与验证 (13) (一)1位全加器的版图设计与验证 (13) 1)1位全加器的版图设计 (13) 2)1位全加器的DRC规则验证 (14) 3)1位全加器的LVS验证 (14) 4)错误及解决办法 (14) (二)4位全加器的版图设计与验证 (15) 1)4位全加器的版图设计 (15) 2)4位全加器的DRC规则验证 (16) 3)4位全加器的LVS验证 (16) 4)错误及解决办法 (16) (三)8位全加器的版图设计与验证 (17) 1)8位全加器的版图设计 (17) 2)8位全加器的DRC规则验证 (17) 3)8位全加器的LVS验证 (18) 4)错误及解决办法 (18) 五、设计总结 (18)

集成电路综合设计实习报告

集成电路设计 综合实验报告 学院:电气与控制工程学院 班级:微电子1001 姓名:*** 学号:10060801**

1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出 其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC 验证。 2. 设计一个CMOS结构的二选一选择器。 (1)根据二选一选择器功能,分析其逻辑关系。 (2)根据其逻辑关系,构建CMOS结构的电路图。 (3)利用EDA工具画出其相应版图。 (4)利用几何设计规则文件进行在线DRC验证并修改版图。

通过反复对比版图可以提取出如下电路原理图 再分析可得到门级电路图 进行仿真,波形如下

功能分析 通过如上分析可知,该电路的功能是一个带使能端的D锁存器:A端为CLK输入端,低电平有效,B端为D信号端,C端为使能端,高电平有效,Y端为输出端。 再设计优化版图如下 MUX21设计 1.电路原理图如下

2.版图设计

3.仿真波形 四、心得体会 经过前几次的实习,我已经能很熟练的使用终端命令了,对于cadence的使用也更加熟练,大量快捷键的使用帮了我很大忙。这一次的反向提取还是很麻烦的,摸索了很长时间后,我们给栅加编号,从上到下,从左到右。然后分析两侧的源漏端,最后分析铜线连接,不断对比得到最后的电路原理图。版图的设计还是比较容易的,因为我们是对比原来的版图画的,但是在版图的绘制过程之中还是要细心,注意工艺的最小线宽或者最小的距离的要求。由于刚开始没注意,我们又反复调整了很多次 通过这一次的实验,让我基本掌握了Cadence软件的使用,原理图的绘制及仿真;版图绘制的基本步骤,在绘制过程中应该注意的工艺要求,以及DRC验证的方法。

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

实验报告芯片解剖实验

电子科技大学成都学院(微电子技术系) 实验报告书 课程名称:芯片解剖实验 学号: 姓名: 教师: 年6月28日

实验一去塑胶芯片的封装 实验时间:同组人员: 一、实验目的 1.了解集成电路封装知识,集成电路封装类型。 2.了解集成电路工艺流程。 3.掌握化学去封装的方法。 二、实验仪器设备 1:烧杯,镊子,电炉。 2:发烟硝酸,弄硫酸,芯片。 3:超纯水等其他设备。 三、实验原理和内容 实验原理: 1..传统封装:塑料封装、陶瓷封装 (1)塑料封装(环氧树脂聚合物) 双列直插DIP、单列直插SIP、双列表面安装式封装SOP、四边形扁平封装QFP 具有J型管脚的塑料电极芯片载体PLCC、小外形J引线塑料封装SOJ (2)陶瓷封装 具有气密性好,高可靠性或者大功率 A.耐熔陶瓷(三氧化二铝和适当玻璃浆料):针栅阵列PGA、陶瓷扁平封装FPG B.薄层陶瓷:无引线陶瓷封装LCCC 2..集成电路工艺 (1)标准双极性工艺 (2)CMOS工艺 (3)BiCMOS工艺 3.去封装 1.陶瓷封装 一般用刀片划开。 2. 塑料封装 化学方法腐蚀,沸煮。 (1)发烟硝酸煮(小火)20~30分钟 (2)浓硫酸沸煮30~50分钟 实验内容: 去塑胶芯片的封装 四、实验步骤 1.打开抽风柜电源,打开抽风柜。 2.将要去封装的芯片(去掉引脚)放入有柄石英烧杯中。 3.带上塑胶手套,在药品台上去浓硝酸。向石英烧杯中注入适量浓硝酸。(操作

时一定注意安全) 4.将石英烧杯放到电炉上加热,记录加热时间。(注意:火不要太大) 5.观察烧杯中的变化,并做好记录。 6.取出去封装的芯片并清洗芯片,在显微镜下观察腐蚀效果。 7.等完成腐蚀后,对废液进行处理。 五、实验数据 1:开始放入芯片,煮大约2分钟,发烟硝酸即与塑胶封转起反应, 此时溶液颜色开始变黑。 2:继续煮芯片,发现塑胶封装开始大量溶解,溶液颜色变浑浊。 3:大约二十五分钟,芯片塑胶部分已经基本去除。 4:取下烧杯,看到闪亮的芯片伴有反光,此时芯片塑胶已经基本去除。 六、结果及分析 1:加热芯片前要事先用钳子把芯片的金属引脚去除,因为此时如果不去除,它会与酸反应,消耗酸液。 2:在芯片去塑胶封装的时候,加热一定要小火加热,因为发烟盐酸是易挥发物质,如果采用大火加热,其中的酸累物质变会分解挥发,引起容易浓度变低,进而可能照成芯片去封装不完全,或者去封装速度较慢的情况。 3:通过实验,了解了去塑胶封装的基本方法,和去封装的一般步骤。

模拟集成电路设计的九个层次

[转贴] 模拟集成电路设计的九个层次来源: 一篇好文章, 摘录于此,以示激励. 一段 你刚开始进入这行,对PMOS/NMOS/BJT什么的只不过有个大概的了解,各种器件的特性你也不太清楚,具体设计成什么样的电路你也没什么主意,你的电路图主要看国内杂志上的文章,或者按照教科书上现成的电路,你总觉得他们说得都有道理。你做的电路主要是小规模的模块,做点差分运放,或者带隙基准的仿真什么的你就计算着发文章,生怕到时候论文凑不够。总的来说,基本上看见运放还是发怵。你觉得spice 是一个非常难以使用而且古怪的东西。 二段 你开始知道什么叫电路设计,天天捧着本教科书在草稿纸上狂算一气。你也经常开始提起一些技术参数,Vdsat、lamda、early voltage、GWB、ft之类的。总觉得有时候电路和手算得差不多,有时候又觉得差别挺大。你也开始关心电压,温度和工艺的变化。例如低电压、低功耗系统什么的。或者是超高速高精度的什么东东,时不时也来上两句。你设计电路时开始计划着要去tape out,虽然tape out看起来还是挺遥远的。这个阶段中,你觉得spice很强大,但经常会因为AC仿真结果不对而大伤脑筋。 三段 你已经和PVT斗争了一段时间了,但总的来说基本上还是没有几次成功的设计经验。你觉得要设计出真正能用的电路真的很难,你急着想建立自己的信心,可你不知道该怎么办。你开始阅读一些JSSC或者博士论文什么的,可你觉得他们说的是一回事,真正的芯片或者又不是那么回事。你觉得Vdsat什么的指标实在不够精确,仿真器的缺省设置也不够满足你的要求,于是你试着仿真器调整参数,或者试着换一换仿真器,但是可它们给出的结果仍然是有时准有时不准。你上论坛,希望得到高手的指导。可他们也是语焉不详,说得东西有时对有时不对。这个阶段中,你觉得spice虽然很好,但是帮助手册写的太不清楚了。 四段 你有过比较重大的流片失败经历了。你知道要做好一个电路,需要精益求精,需要战战兢兢的仔细检查每一个细节。你发现在设计过程中有很多不曾设想过的问题,想要做好电路需要完整的把握每一个方面。于是你开始系统地重新学习在大学毕业时已经卖掉的课本。你把能能找到的相关资料都仔细的看了一边,希望能从中找到一些更有启发性的想法。你已经清楚地知道了你需要达到的电路指标和性能,你也知道了电路设计本质上是需要做很多合理的折中。可你搞不清这个“合理”是怎么确定的,不同指标之间的折中如何选择才好。你觉得要设计出一个适当的能够正常工作的电路真的太难了,你不相信在这个世界上有人可以做到他们宣称的那么好,因为聪明如你都觉得面对如此纷杂的选择束手无策,他们怎么可能做得到?这个阶段中,你觉得spice功能还是太有限了,而且经常对着"time step too small"的出错信息发呆,偶尔情况下你还会创造出巨大的仿真文件让所有人和电脑崩溃。 五段 你觉得很多竞争对手的东西不过如此而已。你开始有一套比较熟悉的设计方法。但是你不知道如何更加优化你手头的工具。你已经使用过一些别人编好的脚本语言,但经常碰到很多问题的时候不能想起来用awk 或者perl搞定。你开始大量的占用服务器的仿真时间,你相信经过大量的仿真,你可以清楚地把你设计的模块调整到合适的样子。有时候你觉得做电路设计简直是太无聊了,实在不行的话,你在考虑是不是该放弃了。这个阶段中,你觉得spice好是好,但是比起fast spice系列的仿真器来,还是差远了;你开始不相信AC仿真,取而代之的是大量的transient仿真。 六段 你开始明白在这个世界中只有最合适的设计,没有最好的设计。你开始有一套真正属于自己的设计方法,你会倾向于某一种或两种仿真工具,并能够熟练的使用他们评价你的设计。你开始在设计中考虑PVT的变化,你知道一个电路从开始到现在的演化过程,并能够针对不同的应用对他们进行裁减。你开始关注功耗

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

相关主题
文本预览
相关文档 最新文档