当前位置:文档之家› 多功能数字钟设计报告

多功能数字钟设计报告

题目多功能数字钟设计总结报告

姓名_____ 梁子轩林逸铭______

学号_____ 3110101553 3110101612 授课教师____ _阮秉涛___________________ 专业_____ 电信_____________________

年级_____ 大二_____________________ 报告完成时间_ 2013/4/21________________

目录

摘要 (4)

第1章设计任务与要求 (5)

1.1 设计任务 (5)

1.2 基本要求 (5)

1.3 扩展要求 (5)

1.4 创新设计 (5)

第2章方案论证 (6)

2.1 数字钟的基本组成 (6)

2.2 秒脉冲发生器 (6)

2.3 计数器 (8)

2.4 译码器与显示电路 (9)

2.5 校时电路 (12)

2.6 整点报时电路 (13)

2.7 闹钟电路 (15)

2.8 迅响电路的硬件共享 (15)

2.9 星期电路 (16)

2.10 日历电路 (16)

2.11 星期与日历的校正电路 (17)

2.12 懒人闹钟功能 (17)

2.13 消抖电路 (17)

2.14 显示切换 (18)

第3章单元电路设计 (19)

3.1 秒脉冲发生器 (19)

3.2 整点报时 (20)

3.3 LED显示电路 (20)

第4章软件设计 (22)

4.1 计数器电路 (22)

4.2 校时电路 (24)

4.3 闹钟电路 (25)

4.4 星期功能 (26)

4.5 日历功能 (27)

4.6 消抖电路 (29)

4.7 显示切换 (30)

4.8 星期和日历的校正 (31)

第5章:系统测试 (36)

5.1 引脚分配与最终硬件实现图 (36)

5.2 标准功能测试 (38)

5.3 创新功能测试 (40)

第6章:总结 (43)

6.1 总结 (43)

6.2 改进 (44)

第7章参考文献 (44)

第8章附录 (44)

8.1 电路图图纸 (45)

8.2 元器件清单 (49)

8.3 仪器设备清单 (49)

8.4 使用说明 (50)

摘要

本设计属于综合性设计实验,围绕一个具体的数字系统——多功能数字钟的设计而展开,面向有一定数字电子技术基础理论知识的学生,帮助学生进一步熟悉电子器件和电子仪器,学会电子电路的安装与调试技能,提高数字系统设计的能力和独立分析解决工程实际问题的能力,并学会撰写设计总结报告,培养严肃认真的工作作风和严谨的科学态度。

本设计是基于Altera公司出品QuartusII软件,利用原理图和VHDL语言结合的方式完成的多功能数字钟,并最终以硬件实现。数字钟的基本功能包括24小时制时、分、秒计时,快速校时,整点报时和闹钟。在此基础上,添加了懒人闹钟、星期和日历的新功能。

设计充分利用了VHDL语言简洁易懂、易于调试和修改、节省硬件资源和便于扩展的优点,使硬件资源物尽其用。

关键词:多功能数字钟、QuartusII、计时、校时、报时、闹钟、懒人闹钟、星期、日历

第1章设计任务与要求

1.1 设计任务

1)设计题目:多功能数字钟电路设计。

2)设计任务:设计一个具有计时、校时、整点报时和闹钟等功能的多功能数字钟电路。

1.2 基本要求

1)设计一个具有时、分、秒计时的数字钟电路,计时采用24小时制;

2)数字钟用6位LED数码管显示时、分、秒的计时值;

3)计时精度:每天误差不超过±1秒。

1.3 扩展要求

1)有快速校时功能,可以对时和分的计数值进行设定;

2)有整点报时功能,在整点到达时,电路发出5声迅响指示;

3)有闹铃功能,在设定时间到达时,电路发出持续1分钟时间的迅响指示。

1.4 创新设计

1)有懒人闹钟功能,当闹钟响起,如果在一分钟内不被关掉,电路每隔5分钟再次迅

响,直至用户关掉闹钟;

2)有显示星期的功能,并可以对其快速校对;

3)有万年历的功能,并可以分别对年、月、日快速校对。

第2章方案论证

2.1 数字钟的基本组成

数字钟电路的基本结构框图

它由下列几部分构成:一个由震荡电路组成的秒脉冲发生器,两个60进制分、秒计数器,一个24(或12)小时制计数器,以及六块译码器,六只七段半导体数码管等部分。

数字钟的工作原理简述如下:秒脉冲发生器产生频率稳定度很高的秒脉冲,作为图中各个计数器的脉冲信号。分、秒计数器要求设计为六十进制计数器,时计数器则设计为二十四进制计数器。并且分计数器的计数信号由秒计数器提供,及当秒计数器到达59时,若再来一个秒脉冲,则秒计数器输出一个分的计数信号,同时秒计数器清零。时计数器与分计数器则依此类推。并且时、分、秒的计时结果将分别送入译码器中,经译码器翻译后,由六个LED数码管显示出时间。

2.2 秒脉冲发生器

2.2.1 振荡电路

可以产生周期性信号的振荡电路有很多种,如RC振荡器、LC振荡器、石英晶体振荡器、集成运放组成的非正弦波发生器、集成门电路构成的非正弦波振荡电路,以及利用555集成定时器组成的波形发生电路等。

而对于实验基本要求中每天误差不超过±1秒这项指标,平均到每一秒钟,精确度要求大致在10-5次方数量级。这就决定了不能随便使用其他方法的振荡电路,而必须选择以晶体振荡器作参考的振荡电路。因为晶振电路的振荡频率几乎与外界电路没有关系,而只与晶

体本身的形状、密度等状态相关联,因此晶振电路能够有效地屏蔽掉外界干扰,能够达到实验中对精度的要求。

晶体振荡器低频振荡电路

2.2.2 分频器

低频振荡电路产生的输出信号频率很高,没法达到秒脉冲的要求,要得到秒脉冲,需要用分频电路。所谓的分频电路,与计数电路的原理相近。观察下面的基本计数器的波形图就可以发现,计数器的第n位,就能够将原本的脉冲波形将为原来的2的n次方分之一。

分频器的输入输出波形

常见的分频电路(计数器)有很多,如十进制BCD码计数器有74LS90、74LS160、74LS162 等;4位二进制计数器有74LS161、74LS163等;14级二进制计数器有CD4020、CD4060等。

如图所示CD4060是一个14级二进制计数器。利用其内部所含的门电路和外接元件可以构成频率为32.768kHz的振荡器电路;振荡信号经过内部14级分频,可以产生周期为0.5s 的脉冲信号输出。

CD4060与晶振连接图

CD4060管脚图

CD4060内部结构

2.3 计数器

2.3.1 60进制计数器

?异步连接方式

异步连接方式的60进制计数器?同步连接方式

同步连接方式的60进制计数器

2.3.2 24进制计数器

?异步连接方式

异步连接方式的24进制计数器

?同步连接方式

同步连接方式的24进制计数器

2.3.3 方案比较

同步连接方式就是把所有的计数器的CP端都接上统一的秒脉冲信号,通过控制使能端等方法来实现控制进制的方法。由于计数器都带有使能端,只有使能时后,收到脉冲信号才能进行计时,而非使能的时刻,即使有脉冲信号,也不能计时。因此,通过低位信号来控制高位信号使能端的电位高低,来决定高位信号是否计时,就能够达到扩展计数范围,达到预定进制要求的目的

异步连接方式则是将不同的计数器CP端接上不同的脉冲信号,通常只有最低位的CP 端才会直接加上秒脉冲信号,而高位CP端接低位的进位信号作为脉冲信号。此时不论高位还是低位,使能端统一接为高电平使能,当出现低位的进位信号时,高位立即计数一次。

对比两者电路可得同步电路线路较异步电路复杂但相对稳定,不易产生毛刺,异步连接方式则线路较为简单、逻辑直观,但容易产生毛刺,稳定性不及同步电路。由于我们所有的功能模块都是采用VHDL语言编程的方法实现,为了提高系统的稳定性,我们采用了同步连接方式。

2.4 译码器与显示电路

2.4.1 LED数码显示器

数码管分为共阳数码管和共阴数码管两种,共阳数码管的公共极是内部发光二极管的阳极,当某一发光二极管阴极加上低电平时,相应的发光二极管导通,这一段就会发光。共阴数码管则相反。

共阳极LED显示管的内外结构如下图所示:

8段字形排列图内部连接图

2.4.2 译码器

译码器的作用是将计数结果进行代码转换,产生的输出信号用于显示器显示对应数字或符号。其分类多种多样,其中有一种是专门用于显示电路的,称为显示译码器,其译码结果能够作为LED等显示器件使用,使显示器件显示出特定的结果。

但是,并非所有的显示译码器都能够直接作为显示器件的输入。因为显示器件显然是需要一定的功率驱动的,如果译码器本身没有驱动能力,虽然输出结果正确,但是没办法让显示器件显示出想要的结果,这就需要再加上特定的驱动器,才能够最终连接显示器显示结果。

本次试验使用自带驱动器的74LS247显示译码器。74LS247是一种中规模集成译码器电路,它的输入是8421BCD码,输出可用于驱动7段LED共阳极数码管。其基本的引脚结构如下所示:(LT、BI/RBO和RBI分别是灯测试、灭灯输入/灭零输出和灭零输入端(均为低电平有效))。

74LS247引脚图

2.4.3 静态显示方式

静态显示法,如下图所示,每一位LED数码管需要一个7位或8位输出信号控制显示代

码。这种连接方法占用硬件资源较多,但控制比较方便,且比较容易获得高亮度显示。

LED数码管的静态显示电路

2.4.4 动态显示方式

所有LED数码管共用一个7位或8位输出控制信号,由另一个n位的控制线控制n个数码管的公共极,通过循环扫描的方式往每个数码管输送待显示信息,并利用视觉暂留效果获得稳定的显示状态。动态显示法可以简化电路、降低成本,但同时也存在显示亮度低、需要增加扫描电路等缺点。

其基本电路图如下所示:

LED数码管的动态显示电路

2.4.5 方案比较

如上所述,静态显示和动态显示各有利弊。动态法的动态显示法可以简化电路、降低成本,但同时也存在显示亮度低、需要增加扫描电路等缺点。静态法则占用硬件资源较多,但控制比较方便,且比较容易获得高亮度显示。另一方面,在使用寿命上,由于动态法采取了频闪的方法,因此不论是译码器还是LED 显示管的使用寿命都会大幅度削减。同时,扫描电路需要占用运算单元,这样会挤占扩展功能电路的空间,因此,两相权衡,我们选择了静态显示电路。

2.5 校时电路

在计时开始或计时出现误差时,都必须利用标准时间对数字钟进行时间校准。这一功能由校时电路完成。校时的方法可以选择采用快速脉冲法和置入数据法。

2.5.1 快速脉冲法

快速脉冲法,顾名思义,就是给时和分的计数器的CP 端加上一个快速脉冲。由于设计的时钟电路是用计数器对脉冲计数来表示时间的进展,因此,如果加上一个比平时快得多的CP 脉冲,那么就能够让它们以比平时快得多的速度进行计时,最终达到时间调整的目的。快速校时电路:若:X=1时候,Z=f1;X=0时,Z=f2;则:2

1f X Xf Z +=

异步连接方式

同步连接方式

2.5.2 置入数据法

置入数据法利用计数器本身自带的置数端和外加的拨码开关,将调整的时间拨出,当计数器的置数端收到相应的信号之后,就把预定时间置入电路当中,从而一次性改变电路显示的时间,也能达到时间调整的目的。

置入数据法校时电路

2.5.3 方案比较

快速脉冲法电路较为简单,并且校时的方法与生活中所用的电子表的校时方法一致,通过两个按钮,就能直接改变时或者分的数值。缺点是校时过程比较费时,没有置入数据法那么直接。

而置入数据法使得调整时间的过程比较简单和直接,做到了用尽可能短的时间来调整,但是由于置入数据需要用拨码开关,因此要把需要的时间转换为二进制输入,而且电路连接也十分复杂。

综上所述,快速脉冲法和置入数据法各有利弊。现实中,已经用了16个拨动开关设定闹钟,如果采用置入数据法,在不增加电路连接的复杂性下,只能让校时电路和设定闹钟电路共享开关,这样需要在设计中增加一个二选一的电路,操作起来不见得比快速脉冲法方便。同时,快速脉冲法更贴近现实中电子钟的校时过程。因此,两相权衡,我们在此设计中选择快速脉冲法来进行校时。

2.6 整点报时电路

2.6.1 发声一次的整点报时电路

即在整点的前后一秒中,发出一次迅响信号即可。计数器异步连接方式下的整点报时电路如下所示:

电路

波形

而对于同步方式连接的分、时计数器而言,由于整点到达前时使能信号将维持1s的高电平信号,因此可以直接利用这个信号控制三极管Q1导通。

2.6.2 发声五次的整点报时电路

连续五声报时电路,即希望在整点到来之前,能够发出五声的迅响信号,在最后一次迅响信号结束的一瞬间,即为整点的时刻。用时序电路做控制的电路如下所示:

发声5次的整点报时电路

其中控制信号1来自分计数器的拾位、个位和秒计数器的拾位的输出状态组合,当时钟计数值为59分50秒至59分59秒期间,控制信号1 应输出高电平,使D触发器U2A的清零端无效。控制信号2来自秒计数器的个位的输出状态组合,当时钟计数为59分55秒时,控制信号2应出现由低向高的跳变,D触发器U2A的输出端由低变高,秒脉冲经U1B输出。三极管Q1按1Hz频率导通5次后,控制信号1 变为低电平,D触发器被清零,输出Q回到低电平。波形图如下所示:

波形

2.6.3 方案选择

由于先前计数器的连接方式选择了同步连接,故相应的整点报时电路也应该选择同步结构。而对于是发声一次还是五次则是设计者个人喜好问题,在此选择发声五次的方式。

2.7 闹钟电路

设想用数值比较器对设定时间与时钟时间进行比对,当两者的时和分相同时,控制迅响电路工作,产生迅响信号输出。迅响持续时间达到1min后,时钟的分计数器值改变,将不再与闹铃设定时间相同,因此迅响电路将停止发出迅响。

与此同时,要实现闹钟的开与关,闹钟应当有一个使能端来控制,就是闹钟的总开关。由此可得闹钟功能电路如下所示:

闹钟电路

2.8 迅响电路的硬件共享

整点报时和闹钟功能都要用到迅响电路,为了节省硬件开销,可以让整点报时和闹铃功能共享迅想电路。具体方式为将整点报时的输出和闹铃的输出通过一个活门合并为一个输出去控制蜂鸣器,这样两者就都可以正常工作并且公用一个蜂鸣器,以节省硬件开销。如下图:

迅响电路共享的原理图实现

说明:Bell1和Bell2平时都为“低”,当闹钟电路工作时Bell1输出秒脉冲信号,当整点报时电路工作时Bell2输出秒脉冲信号。只要闹钟电路和整点报时电路其中有一个工作,输出引脚Bell就可以接收到秒脉冲信号,从而驱动外围的蜂鸣器电路。Equal信号当闹钟电

路工作时为“高”,equal和Bell2通过与门连接,表示当闹钟工作时禁止整点报时电路

工作。这样做的原因是,如果设定的闹钟时间为X时59分,整点前几秒会出现闹钟电路和整点报时电路同时工作的情况,蜂鸣器会持续响几秒钟时间,我们不希望出现这种刺耳的声音,因此我们设定闹钟的优先级比整点报时高。

2.9 星期电路

星期功能的实现即设计一个从1到7循环计数的计数器,其计数触发脉冲应该是每24小时一次,此脉冲可以从小时计数器的高位得到,即小时计数器高位的2变成0时星期加1。计数触发脉冲也可以从快速脉冲校正电路中得到。此功能为附加功能,实现时采用星期模块的方式,用VHDL语言编写。其中模块框图如下所示:

星期电路的自定义功能模块

2.10 日历电路

日历是一般多功能数字钟必不可少的功能之一。其关键在于年、月、日计数与进位之间的关系。该计数器的触发脉冲与星期功能的脉冲一样。而日向月进位,月向年进位,大小月的判断以及闰年与非闰年的判断均由VHDL语言实现。

其中大小月的判断用的是枚举法,将12个月都当做一种情况列些出来。而闰年的判断

则是通过分析年的最后两位得到。由于在程序中年的末两位是用两个4位的BCD码来表示的,分析闰年与非闰年,可得当年高位的BCD码的末位为0而年低位的末两位为00或年高位的BCD码的末位为1而年低位的末位为0时该年为闰年(由于只有六只数码管,故只能显示年的末两位,即年计数为0到99,因此不考虑年能被100整除而不能被400整除是非闰年的情况)。软件实现详见第4章——软件设计。

万年历的模块框图如下所示:

万年历电路自定义模块

2.11 星期与日历的校正电路

在计时开始或计时出现误差时,都必须利用标准时间对数字钟进行时间校准。显然星期与万年历也需要进行校时。由于对时间的校时采用了快速脉冲法,故此处也还是用快速脉冲法对星期与万年历校时。为了节省硬件,时间、万年历与星期的校时按钮共享,一共使用了A和B两个按钮。通过软件编程的方法将其加以区分。具体方法是当数码管显示的是星期时A不起作用,B按钮只对星期启校时作用;而当显示的是年月日时,对年、月、日分开校时,该功能的实现通过一个拨动开关实现,当开关接通,A按钮调年,B按钮调月,当开关断开,A按钮调年,B按钮调日。软件实现详见第4章——软件设计。

2.12 懒人闹钟功能

懒人闹钟的功能也是一个附加的功能,在自定义的闹钟电路模块中,用VHDL语言实现。闹钟响起来的一分钟内,如果用户没有把闹钟关掉的话,它会从当前时间开始算起,每隔5分钟响一次,直到用户把闹钟关掉为至。

实现懒人闹钟的思路是,从闹钟设定时间的下一分钟开始,触发计数功能,每来一个秒脉冲计数一次,当计数次数达到240次时(即闹钟设定时间的5分钟后)闹钟开始响起,这时计数还在继续,直到计数达到300,闹钟响了一分钟,计数清零,继续循环计数,如果把闹钟关闭,计数功能则关闭。软件实现详见第4章——软件设计。

2.13 消抖电路

按键开关是电子设备实现人机对话的重要器件之一。由于大部分按键是机械触点,在触点闭合和断开时都会产生抖动。为避免抖动引起误动作造成系统的不稳定,就要求消除按键

的抖动,确保按键每按一次只做一次响应。

VHDL中的按键消抖电路设计原理:按键消抖的关键是提取稳定的低电平(或高电平)状态,滤除按键稳定前后的抖动脉冲。在用基于VHDL语言的时序逻辑电路设计按键消抖电路时,可以用一个时钟脉冲信号对按键状态进行取样,当第一次采样到高电平时,启动延时电路,延时结束后,再对按键信号进行连续三次取样,如果三次取样都为低电平,则可以认为按键已经处在稳定状态,这时输出一个低电平的按键确认信号,如果连续三次的取样中,至少有一次是高电平,则认为按键仍处在抖动状态,此时不进行按键确认,按键输出信号为高电平。软件实现详见第4章——软件设计。

2.14 显示切换

由于有闹钟设定的功能,以及添加了日历、星期等功能,6只数码管将不仅仅用来显示时间,也要能显示设定的闹钟时间和年月日、星期。也就是说显示的内容需要切换。

由此一共有4个不同的内容需要显示,则可以通过两个拨码开关来控制显示的内容:“11”时输出时间的编码到外围显示电路,“00”时输出日历,“01”输出星期,“10”时输出设定的闹钟时间。

而当某一功能被选中后,则通过如下所示的切换模块进行数据选择。

显示切换电路自定义模块

说明:左边为2个负责控制的拨动开关,下面为信号的输入,经过开关的筛选后,将所需显示的信号从右边输出。软件实现详见第4章——软件设计。

第3章单元电路设计

3.1 秒脉冲发生器

【硬件分频】

低频振荡电路产生的输出信号频率很高,没法达到秒脉冲的要求,要得到秒脉冲,需要用分频电路。所谓的分频电路,与计数电路的原理相近。观察下面的基本计数器的波形图就可以发现,计数器的第n位,就能够将原本的脉冲波形将为原来的2的n次方分之一。

计数器的波形

如图所示CD4060是一个14级二进制计数器。利用其内部所含的门电路和外接元件可以构成频率为32.768kHz的振荡器电路;振荡信号经过内部14级分频,可以产生周期为

的脉冲信号输出。

CD4060与晶振连接图

【软件分频】

上述得到的2Hz的脉冲可以作为快速脉冲的触发电平,但数字钟计数所要用的是周期为1s的秒脉冲,所以还需在程序设计时通过软件的方法再进行一次二分频。分频电路如下所示:

用D 锁存器实现的分频器

原理说明:上升沿触发的D 锁存器的特性是,每来一次上升沿的脉冲,Q n+1= D ,此外的情况下,Q 保持前一次的状态,因此令Q D =,则n n Q Q =+1,输出Q 在输入脉冲一个周期内翻转一次,实现了2分频的功能。

3.2 整点报时

连续五声报时电路,即希望在整点到来之前,能够发出五声的迅响信号,在最后一次迅响信号结束的一瞬间,即为整点的时刻。用时序电路做控制的电路如下所示:

整点报时

说明:下部分为XX 时59分55秒至58秒这4秒时间内的控制电路,当时钟计数值为59分50秒至59分58秒期间,控制信号Time_Report 、Time_Report4和Time_Report3为高电平,使D 触发器的清零端无效。Time_Report2来自秒计数器的个位的输出状态组合,当时钟计数为59分55秒时,Time_Report2应出现由低向高的跳变,D 触发器的输出端由低变高,秒脉冲经D 触发器输出。三极管Q1按1Hz 频率导通4次后,控制信号1 变为低电平,D 触发器被清零。上部分:当到达XX 时59分时Time_Report4 = ‘1’,秒高位为5时Time_Report = ‘1’,秒低位为9时,Second_Low[3]和Second[0]为’1’,此时输出频率为128Hz 的CP2。上半部分和下半部分通过一个或门结合,实现了XX 时59分55秒到58秒的时候,蜂鸣器器由1Hz 脉冲控制发声,当XX 时59分59秒时,蜂鸣器由128Hz 脉冲控制发声。

3.3 LED 显示电路

数码管分为共阳数码管和共阴数码管两种,共阳数码管的公共极是内部发光二极管的阳极,当某一发光二极管阴极加上低电平时,相应的发光二极管导通,这一段就会发光。共阴数码管则相反。

共阳极LED 显示管的内外结构如下图所示:

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

多功能数字钟的VHDL设计

毕业设计论文 多功能数字钟的VHDL设计 系 xxxxxxxxxxxxxxxxx 专业 xxxxxxxxxxxxx 学号 xxxxxxxxxxx 姓名 xxxxxxx 班级 xxxxxxxxxxxx 指导老师 xxxxxxxxxx 职称 指导老师职称 毕业设计时间 2009年11月——2010年1月

摘要:应用VHDL语言编程,进行了多功能数字钟的设计,并在MAX PLUSⅡ环境下通过了编译、仿真、调试。 关键词:VHDL;EDA;数字钟;仿真图 0.引言 随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。可编程逻辑器件和相应的设计技术体现在三个主要方面:一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。在本实验中采用了集成度较高的FPGA 可编程逻辑器件, 选用了VHDL硬件描述语言和MAX + p lusⅡ开发软件。VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色。由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”( Top - Down)和基于库(L ibrary - Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短 了产品的研制周期。MAX + p lusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。 1. EDA简介 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

多功能数字钟设计

摘要 本实验是利用QuartusII 7.0软件设计一个多功能数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定等附加功能,使得设计的数字钟的功能更加完善。 关键字:QuartusII、数字钟、多功能、仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-holding and belling on the hour. And then validated the design on the experimental board. In addition, additional functions like displaying and resetting the week and setting alarm make this digital clock a perfect one. Key words: Quartus digital-clock multi-function simulate

目录 一.设计要求 (4) 二.工作原理 (4) 三.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (7) 3)动态显示模块 (9) 4)校分与校时模块 (10) 5)清零模块 (11) 6)保持模块 (12) 7)报时模块 (12) 四.扩展模块 (12) 1)星期模块 (12) 2)闹钟模块 (13) 五.总电路的形成 (16) 六.调试、编程下载 (17) 七.实验感想 (17) 八.参考文献 (20)

电子技术课程设计多功能数字时钟范文

电子技术课程设计多功能数字时钟

电子技术课程设计 数字钟的设计 一、设计任务与要求 1.能直接显示“时”、“分”、“秒”十进制数字的石英数字 钟。 2.能够24小时制或12小时制。 3.具有校时功能。能够对小时和分单独校时,对分校时的时候, 停止分向小时进位。校时时钟源能够手动输入或借用电路中的时钟。 4.整点能自动报时,要求报时声响四低一高,最后一响为整点。 5.走时精度高于普通机械时钟(误差不超过1s/d)。 二、方案设计与认证 1、课题分析 数字时钟一般由6个部分组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。秒信号送入计数器进行计数,把累计的结果以“时”、“分”、“秒”的十进制数字显示出来。“时”显示由二十四进制计数器、译码器和显示器构成,“分”、“秒”显示分别由六十进制计数器、译码器构成。其原理框图如图1所示。

2、方案认证 (1)振荡器 振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。数字钟的精度,主要取决于时间标准信号的频率及稳定度。振荡器的频率越高,计时的精度就越高,但耗电量将增大。一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。 (2)分频器 振荡器产生的时基信号一般频率都很高,要使它变成能用来计时的“秒”信号,需由分频器来完成。分频器的级数和每级的分频次数要根据时基频率来定。例如,当前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的

“秒”信号。也可选用其它频率的时基信号,确定好分频次数后再选择合适的集成电路。 (3)计数器 数字钟的“秒”、“分”信号产生电路都由六十进制计数器构成,“时”信号产生电路由二十四进制计数器构成。“秒”和“分”计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们一般计数习惯。“时”计数也能够用两块十进制计数器实现,只是做成二十四进制。上述计数器均可用反馈清零法来实现。 (4)译码显示电路 因本设计选用的计数器全部采用二-十进制集成块,因而计数器的译码显示均采用BCD-七段显示译码器,显示器采用共阴极或共阳极的七段显示数码管。 (5)校时电路 在刚开机接通电源或计时出现误差时,都需要对时间进行校正。校“时”电路的基本原理是将周期为0.5s的脉冲信号直接引进“时”计数器,同时将“分”计数器置零,让“时”计数器快速计数,在“时”的指示达到需要的数字后,切断0.5s的脉冲信号。 (6)整点报时电路 数字钟整点报时是最基本的功能之一。此电路要求每当“分”和

数字钟课程设计实验报告

《电子技术课程设计报告》 教学院:电气与电子信息工程学院 专业班级: xx级电子信息工程(x)班 学号: xxxxxxxxxxxx 学生:坏水 指导教师: xxxxxxxxxxxx 时间: 2011.10.10~10.23 地点:电子技术实验室

课程设计成绩评定表

电子技术课程设计任务书 2011~2012学年第一学期 学生:坏水专业班级: xx电信本x班 指导教师: xxxxxxxxx 工作部门:电气与电子信息工程学院 一、课程设计题目:多功能数字钟电路的设计/直流稳压电源的设计 二、课程设计容(含技术指标): ①拟定多功能数字钟和直流稳压电源的组成框图,要求实现电路的基本功能, 使用的器件少,成本低; ②画出数字钟和直流稳压电源的主体电路逻辑图; ③测试多功能数字钟的逻辑功能,同时满足基本功能与扩展功能的要求; ④设计并安装各单元电路,要求布线整齐、美观,便于级联与调试; 三、进度安排 四、基本要求 1.基本功能:要求设计出+5V的直流稳压电源。数字钟要求以数字形式显示时、分、秒的时间。小时计数器的计时要求为“12翻1”,要求具有手动校时功能。

2.扩展功能:定时控制,其时间自定;仿广播电台正点报时,自动报整点时数或触摸报整点时数(主要体现在理论知识上进行电路设计)。 (一)实训题目:直流稳压电源和多功能数字钟。 (二)实训目的: 1、巩固和加深学生对模拟电子技术,数字逻辑电路等课程基本知识的理解,综 合运用课程中所学到的理论知识去独立完成一个实际课题。 2、根据课程需要,通过查阅手册和文献资料,培养学生独立分析和解决实际问 题的能力。 3、通过电路方案的分析、论证和比较,设计计算和选用元气件,通过电路组装, 调试和检测环节,掌握电路的分析方法和设计方法。 4、熟用常用电子元气件的类型和特性,并掌握合理选用原则。 5、掌握电路图、PCB图的设计方法,学会电路的安装与调试。 6、掌握常用仪器、仪表的正确使用方法,学会电路整机指标的测试方法。(三)实训要求 1、数字钟的功能要求:准确计时,以数字形式显示时、分、秒的时间,小时时 要求为“12翻1”,分和秒的计时要求为60进位,要有校正时间电路。 2、直流稳压电源的功能要求:输入220V交流电压,输出+5V直流电压。 一、整体方案原理框图 1、直流稳压电源 直流稳压电源主要包括4个部分,电源变压器,整流电路,滤波器,稳压电路。 2、数字钟 设计框图

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

多功能数字钟数电课设

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) Abstract (4) 绪论 (5) 1仿真软件Proteus介绍 (6) 1.1Proteus概述 (6) 1.2Proteus功能特点 (6) 2方案论证 (8) 2.1方案一:采用中小规模集成电路模块实现 (8) 2.2方案二:采用单片机构建数字钟系统 (8) 2.3方案选择 (8) 3总体电路设计 (9) 3.1电路原理分析与设计 (9) 3.2系统原理图 (9) 4各模块电路分析 (10) 4.1时钟脉冲发生器 (10) 4.1.1方案一:RC振荡器 (10) 4.1.2方案二:555定时器 (11) 4.1.3方案三:石英晶体振荡器 (13) 4.1.4结论 (13) 4.2译码显示电路 (14) 4.3计数器电路 (16) 4.3.1 时计数电路 (17) 4.3.2 分计数电路 (18) 4.3.3秒计数电路 (19) 4.4 校时电路部分 (19) 4.4.1 方案一:快速脉冲法 (19) 4.4.1 方案二:按键单脉冲法 (20) 4.5 整点报时电路 (21) 4.6 闹钟电路 (22) 5总体电路设计与仿真 (23) 5.1总体电路图 (24) 5.2仿真结果分析 (24) 6 总结 (24) 参考文献 0

fpga数字钟课程设计报告

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 学号:20133638 姓名:王一丁 指导教师:李世平 设计时间:2016年1月

摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟

目录 摘要 1 课程设计目的 2 课程设计内容及要求 2.1 设计任务 2.2 设计要求 3 VHDL程序设计 3.1方案论证 3.2 系统结构框图 3.3设计思路与方法 3.3.1 状态控制模块 3.3.2 时分秒模块 3.3.3 年月日模块 3.3.4 显示模块 3.3.5脉冲产生模块 3.3.6 扬声器与闹钟模块 3.4 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献

1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。 2 课程设计内容及要求 2.1 设计任务 (1)6个数字显示器显示时分秒,setpin按键产生一个脉冲,显示切换为年月日。 (2)第二个脉冲可预置年份,第三个脉冲可以预置月份,依次第四、 五、六、七个脉冲到来时分别可以预置时期、时、分、秒,第八个脉冲到来后预置结束正常从左显示时分秒。 (3)up为高时,upclk有脉冲到达时,预置位加一,否则减一。 2.2 设计要求 (1)在基本功能的基础上,闹钟在整点进行报时,产生一定时长的高电平。 (2)实现闹钟功能,可对闹钟时间进行预置,当达到预置时间时进行报时。

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

数字钟课程设计报告

摘要 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械时钟相比,它一般具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用。数字电子钟的设计方法有许多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等等。本课程设计采用的是中小规模集成电路法,时钟信号发生器采用32768Hz的CMOS石英谐振器制作,产生1Hz时钟脉冲;用74LS290设计两个六十进制的计数器对“分”、“秒”信号计数,二十四进制计数器对“时”信号计数、再通过“时”、“分”校正电路进行时间的校正,实现数字电子钟的功能。 关键词 数字电子钟;中小规模集成芯片;计数器;数字电子技术 设计的目的 (1)加强对电子制作的认识,充分掌握和理解设计个部分的工作原理、设计过程、选择芯片器件、电路的焊接与调试等多项知识。(2)把理论知识与实践相结合,充分发挥个人与团队协作能力,并在实践中锻炼。(3)提高利用已学知识分析和解决问题的能力。(4)提高实践动手能力 设计用到的仪器和零件 计数器(3片CD4518、CD4081)、显示译码器(6片CD4511)、6片共阴极数码管、二极管、电阻、电容、晶振(32.768kHz)、集成计数器(CD4060、CD4013)、开关、接线座、PCB板等元件。 数字钟的结构及基本工作原理 结构 数字电子时钟实际上是一个对标准频率(1Hz)进行计数的计数电路。由于计数的起始时间不可能与某一个标准时间(如东八时区时间)一致,故需要在电路上加上一个对“时”、“分”进行校正的校时电路,同时为了提高计时的准确性,信号发生器产生的标准的1Hz时间信号

多功能数字钟的设计与实现

多功能数字钟的设计与实现一、实验目的 1.掌握数字钟的设计原理。 2.用微机实验平台实现数字钟。 3.分析比较微机实现的数字钟和其他方法实现的数字钟。 二、实验内容与要求 使用微机实验平台实现数字钟。 1.基本要求如下: 1) 24 小时制时间显示。 2) 3) 4)可以随时进行时间校对。 整点报时。 闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。 2.提高要求 1) 2)校时时相应位闪烁。能够设置多个起闹点。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、系统总体设计 根据设计要求,初步思路如下: 1)计时单元由定时/计数器8253的通道0 来实现。定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。 2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。 3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。按键包括校时键、闹钟定时键、加1键和减1键等。

4) 报警声响用蜂鸣器产生,将蜂鸣器接到 8255 的一个端口,通过输出电平的高低来 控制蜂鸣器的发声。 系统硬件设计主要利用微机实验平台上的电路模块。硬件电路主要由键盘电路、 单脉冲产生单元、8253 定时计数器、8255 并行接口单元、8259 中断控制器、LED 显 示电路和蜂鸣器电路等等。系统的硬件电路设计框图如图 1 所示。 图 1 硬件电路框图 五、硬件设计 根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台 上的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电 路的设计及实现相对简单。完整系统的硬件连接如图 2 所示。硬件电路由定时模块、按键 模块、数码管显示模块和蜂鸣器模块组成。 Q6 路 图 2 系统硬件电路图 微机系统 8253 8255 8259 数码管显示 电路 键盘电路 蜂鸣器电路 单脉冲发生 单元 单脉冲发 生单元 键盘电路 8255 PA0 PB0 PC 8253 OUT0 GATE0 Clk0 地址 CS1 译码 CS2 电路 CS3 CS4 数码管显示模块 8259 IRQ2 IRQ3 IRQ5 PC BUS 蜂鸣器 单脉冲发 生单元

数字钟课程设计报告40979

数字钟课程设计报告 40979

课程设计报告 题目:数字钟的设计及制造 学校:安庆师范学院 班级:电信一班 姓名:赵润平 学号:080213037 姓名:杨刘节 学号:080213019 姓名:金轶群 学号:080213029

摘要 本次电子技术基础课程设计选题是数字钟的设计。主要原理是由晶体振荡电路产生多谐振荡,经过分频器分频后输出稳定的秒脉冲,作为时间基准。秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器以24为一个周期,并实现小时高位具有零熄灭的功能。计数器的输出经译码器送到显示器,可在相应位置正确显示时、分、秒。计时出现误差或者调整时间可以用校时电路进行时、分的调整。 随着科学技术的不断发展,人们对时间计量的精度要求越来越高。高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟石晶表石英钟都采用了石英技术,因此走时精度高,稳定性好,使用方便;另一方面《数字电子技术》是一门实践性很强的的课程,只靠短短的课堂教学,学生只能略懂一些肤浅的表面知识,通过课程设计,学生亲自动手去做,在发现问题和解决问题中,才能够更好的理解《数字电子技术》的理论知识,提干我们的知识运用能力和实验技术,增强实践能力,为我们将来在技术领域的发展奠定了一定的实践基础。

目录 摘要 (2) 1设计的任务与要求 (4) 1.1数字钟的设计目的 (4) 1.2数字钟的设计要求 (4) 1.3数字钟的基本原理 (4) 2实验元器件 (4) 3单元模块设计 (6) 3.1电源部分 (6) 3.2震荡时钟 (6) 3.3数码管驱动 (6) 3.4CC4581功能介绍 (7) 3.5分频电路 (7) 3.6时间计数电路 (8) 3.7校时电路 (8) 4综合框图 (9) 5电路总图 (10) 6课程设计心得体会 (10) 7参考资料 (11)

多功能数字钟的设计

《Protel应用》课程设计任务书 学生姓名:专业班级: 指导教师:黄铮工作单位:信息工程学院 题目:多功能数字钟的设计 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 一、训练内容和要求 1、绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。 2、绘制相应电路原理图的双面印刷版图*.pcb。对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。 3、基本动手能力和知识应用能力强化训练 1)学习PROTEL软件; 2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 二、初始条件 模电数电基本知识;计算机;MULTISIM 软件;PROTEL软件 时间安排: 6.13:理论设计 6.14~6.17:安装调试仿真 6.18~6.19:撰写报告 6.20:答辩 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 摘要 (1) Abstract (2) 1 设计要求 (3) 2.1脉冲产生电路 (3) 2.1.1振荡器的设计 (3) 2.1.2分频器的设计 (5) 2.2记时电路 (6) 2.2.1分秒记时部分设计 (7) 2.2.2时记时部分设计 (8) 2.2.3显示电路 (8) 2.2.3校准电路 (9) 2.2.3总体设计电路 (10) 2.2.3仿真验证 (11) 3 Protel的运用 (12) 3.1创建项目 (12) 3.2摆放元件 (14) 3.3绘制原理图 (15) 3.4更改元件属性 (16)

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

数字钟设计实验报告

湖南工业职业技术学院项目制作报告书 项目名称:新大屏幕数字钟制作 所属课程:数字电子技术 系别电气工程 专业班级电信S2009-2 学生姓名易延烽 学号16 项目指导老师李佳老师 电子邮箱 联系Q Q 571040889 2011-1-1

新大屏幕数字钟的制作 一.设计目的 a.熟悉集成电路的引脚安排. b.掌握各芯片的逻辑功能及使用方法. c.了解面包板结构及其接线方法. d.了解数字钟的组成及工作原理. e.熟悉数字钟的设计与制作. 二.设计要求 1.a.时间以24小时为一个周期,显示时,分,秒; b.有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; c.为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号. 2..制作要求 a.画出电路原理图(或仿真电路图); b.元器件及参数选择; c.电路仿真与调试; 3.制作要求自行装配和调试,并能发现问题和解决问题. 4.编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会. 三.设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.通常使用石英晶体振荡器电路构成数字钟.图(1)

. ⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定.不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路. ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数.分频器实际上也就是计数器. ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

相关主题
文本预览
相关文档 最新文档