当前位置:文档之家› 厦大数电实验 实验二

厦大数电实验 实验二

厦大数电实验 实验二
厦大数电实验 实验二

实验二TTL 与非门的参数测试

一、实验目的

·掌握TTL 与非门主要参数的测试方法。 ·掌握TTL 与非门电压传输特性的测试方法。 ·熟悉集成元器件管脚排列特点。 二、实验原理

TTL 集成与非门是数字电路中广泛使用的一种基本逻辑门,使用时必须对它的逻辑功能、主要参数和特性曲线进行测试,以确定其性能好坏。

本实验采用TTL 集成元器件74LS00与非门进行测试。它是一个2输人端4与非门,形状为双列直插式,逻辑表达式为F =A ·B ,其逻辑符号及外引线排列图如图1—1(a)(b)(c)(d)所示。

图1-1与非门逻辑符号及74LS00外引线排列图

14 13 12 11 10 9 8 1 2 3 4 5 6 7

(d)74LS00引脚排列

V CC

GND

74LS00

&

&

&

&

(a)国内常用符号

A

B

Y

(b)国外常用符号

A B

Y

(C)国际标准符号

&

A

B

Y

1.TTL与非门主要参数

(1)输出高电平V OH和输出低电平V OL

V OH是指与非门一个以上的输入端接低电平或接地时,输出电压的大小。此时门电路处于截止状态。如输出空载,V OH必须大于标准高电平(V SH=2.4V),一般在3.6V左右。当输出端接有拉电流负载时,V OH将降低。

V OL是指与非门的所有输人端均接高电平时,输出电压的大小。此时门电路处于导通状态。如输出空载,V OL必须低于标准低电平(V SL=0.4V),约为0.1V左右。接有灌电流负载时,V OL将上升。

(2)低电平输入电流I IL

I IL是指当一个输入端接地,而其他输入端悬空时,输入端流向接地端的电流,又称为输入短路电流。I IL的大小关系到前一级门电路能带动负载的个数。

(3)高电平输入电流I IH

I IH是指当一个输入端接高电平,而其他输入端接地时,流过接高电平输入端的电流,又称为交叉漏电流。它主要作为前级门输出为高电平时的拉电流。当I IH太大时,就会因为“拉出”电流太大,而使前级门输出高电平降低。

(4)输入开门电平V ON和关门电平V OFF

V ON是指与非门输出端接额定负载时,使输出处于低电平状态时所允许的最小输入电压。换句话说,为了使与非门处于导通状态,输入电平必须大于V ON。

V OFF是指使与非门输出处于高电平状态所允许的最大输人电压。

(5)扇出系数N0

N0是说明输出端负载能力的一项参数,它表示驱动同类型门电路的数目。N0的大小主要受输出低电平时,输出端允许灌人的最大电流的限制,如灌人负载电流超出该数值,输出低电平将显著抬高,造成下一级逻辑电路的错误动作。

(6)空载导通功耗P ON

静态工作、输出为低电平时的功耗,即电源电压V CC 和导通电源电流I CCL 的乘积。

2.TTL 与非门的电压传输特性

TTL 与非门电路的电压传输特性,表示输入电压从零电平逐渐升到高电平时,输出电压的变化。利用电压传输特性曲线不仅可直接读出其主要静态参数,如V OH 、V OL 、V ON 、V OFF 、V NH 和V NL (如图1-2所示),还可以检查和判断TTL 与非门的好坏,如若V ON 和V OFF ,两个数值越靠近,越接近同一数值(阈值电平V T ),就说明与非门电路的特性曲线愈陡,抗干扰能力越强。

3.平均传输延迟时间t pd

t pd 是衡量门电路开关速度的参数,原因是输出电压对输入电压有一定的时间延迟,t pd 等于导通时间和截止时间的平均值。

由于TTL 门电路的延迟时间较小,直接测量时对信号发生器和示波器的性能要求较高,故实验一般采用测量由奇数个与非门组成的环形振荡器的振荡周期T 来求得。其工作原理是:假设电路在接通电源后某一瞬间,电路中A 点为逻辑“1”,经

过三级门的延时后,使A 点由原来的逻辑“1”变为逻辑“0”;再经过三级门的延时后,A 点电平有重新变为逻辑“1”。电路的其它各点的电平也跟随变化。说明使A 点发生一个周期的振荡,必须经过6级门的延迟时间。因此平均传输延迟时间为

t pd =6

1

T

三、实验仪器及器件

DZX-2B 型电子学综合实验装置,YB4320A 双踪四迹示波器,集成2输入端四与非门74LS00 1片,电阻、电位器导线若干。 四、实验内容

1.测试TTL 与非门的各项参数分别列表记录所测得数据;

(1) 分别测量TTL 与非门74LS00在带负载下的输出高电平V OH 和输出低电平V OL ;

表一

带负载(3.6K) V OH (V) V OL (V) 3.424

0.1927

(2)测量输入开门电平V ON 和关门电平V OFF

表二

V OFF (V) V OL (V) V ON (V)

0.8355

0.1927

1.4455

表三

(3)测量输入电流I IS ;

I IS (mA)

0.9633

V CC

5.1K

V O

3.6K 1/4

图1-3 V OH 的测试电

&

V CC

R L 390Ω V OL

1/4 74LS00

图1-4 V OL 的测试电路

&

V CC

1/4 74LS00

mA

&

2.测试电压传输特性

表四 与非门电压传输特性测试

V OFF (V) V OL (V) V ON (V)

Vo H

0.8355

0.1927

1.4455

3.85

3.测量平均传输延迟时间t pd 表五 T(ns) t pd (ns)

48.2 8.03 五、实验报告分析

1.列表记录、整理数据,并对结果进行分析。 见表一至表五

2.与非门逻辑功能:同时输入两个高电平,则输出低电平,否则输出高电平!

3.按相关图链接电路,打开开关,然后再将信号发生器和示波器调至正确参数,信号发生器按输出按键,示波器按自动挡,直流耦合。查看示波器显示图形,正确之后再将标准模式调至所需模式,之后读数!

4.优点:图形直观形象; 缺点:不准确!

V CC

1/4 74LS00

V 0 V

V V I R P 1K 图1-11与非门电压传输特性测试●

&

T

3/4 74LS00

图1-12 t pd 测试电路

&

&

&

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

数电实验2

深圳大学实验报告 课程名称:数字电子技术 实验项目名称:TTL、HC和HCT器件的参数测试学院:光电工程 专业:光电信息 指导教师: 报告人:刘恩源学号:2012170042 班级:2 实验时间: 实验报告提交时间:

一、实验目的与要求: 1、掌握TTL、HCT和HCT器件的传输特性。 2、熟悉万用表的使用方法。 二、实验仪器: 1、六反相器74LS04 1片 2、六反相器74HC04 1片 3、六反相器74HCT04 1片 4、万用表 三、实验原理: 非门的输出电压V O与输入电压V I的关系V O=f(V I)叫做电压传输特性,也叫做电压转移特性。它可以用一条曲线表示,叫做电压传输特性曲线。从传输特性曲线可以求出非门的下列参数: 1、输出高电平(V OH)。 2、输出低电平(V OL)。 3、输入高电平(V IH)。 4、输入低电平(V IL)。 5、门槛电平(V T)。 四、实验内容与步骤: 1、测试TTL器件74LS04一个非门的传输特性。 2、测试HC器件74HC04一个非门的传输特性。 3、测试HCT器件74HC04一个非门的传输特性。 注意:1、注意被测器件的引脚7和引脚14分别接地和接+5V。 2、将实验箱上直流信号源的输出端作为被测非门的输入电压。旋转电位器改变非门的 输入电压值。 1、3、按步长0.2V调整率改变非门的输入电压。首先用万用表监视非门输入电压,调 好输入电压后,再用万用表测试测量非门的输出电压,并记录下来。实验接线图由于74LS04、74HC04和74HCT04的逻辑功能相同,因此三个实验的接线图是一样的。 下面以第一个逻辑门为例,画出实验接线图(V I表示非门输入电压,电压表表示电压测试点)如下:

厦门大学数电实验九

实验九触发器的工作特性 一、实验目的 1、掌握并验证基本RS触发器、维阻D触发器和主从JK触发器的逻辑功能; 2、掌握触发器之间的转换。 二、实验原理 1、基本RS触发器: 与非型直接RS触发器是最简单的触发器,其由两个与非门交叉耦合而成,电路如图1所示,其特性方程如下式,特性表如图1所示。 2、维阻D触发器: 维阻D触发器的逻辑符号和功能如下:

(1)低电平异步预置: D和Cp状态任意,Rd’=0,Sd’=1,Q=0;Rd’=1,Sd’=0,Q=1。 (2)上升沿边沿触发特性: 当Cp上升沿来时,输出Q按输入D的状态而变化,即Qn+1=Dn 3、主从JK触发器: 主从JK触发器的逻辑符号和功能如下: (1)低电平异步预置: J、K和Cp状态任意,Rd’=0,Sd’=1,Q=0;Rd’=1,Sd’=0,Q=1。 (2)下降沿电平触发特性: 当Cp下降沿来时,输出Q按Cp=1期间的JK状态变化(Cp=1期间,JK变化时,主触发器有一次翻转问题),即:Qn+1=JQ’n+K’Qn。 4、触发器间的转换: (1)转换:根据已有触发器(D、JK)和适当的逻辑门获得待求触发器。 (2)步骤: ①写出已有触发器和待求触发器状态方程。 ②变换待求触发器方程,使之形式与已有触发器形式一样。 ③根据逻辑函数相等原则,若变量相同,则:系数相等。 ④画出转换电路。

三、实验仪器及器件 1、示波器1台 2、函数信号发生器1台 3、数字万用表1台 4、多功能电路实验箱1台 四、实验内容 1、基本RS触发器: 按1搭接电路,Rd’、Sd’分别接逻辑开关K1、K2,用L1显示1Q,用L2显示1Q’,按照表1验证基本RS触发器功能。 2、维阻D触发器: SN74LS74是TTL型集成双D维阻触发器,管脚图如图: (1)连接电路,L1显示Q,L2显示Q’ (2)验证Rd’和Sd’低电平异步预置功能: 当Rd’=0,Sd’=1时,L1灯灭,L2灯亮; 当Rd’=1,Sd’=0时,L1灯亮,L2灯灭。(D和Cp任意) (3)验证上升沿触发特性和逻辑功能表 3、主从JK触发器: SN7476是TTL型集成双JK主从触发器,管脚图如图:

数电实验报告1

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1) 输入输出 1 2 3 4 Y 电压(V) H H H H 0 0.11 L H H H 1 4.23 L L H H 1 4.23 L L L H 1 4.23 L L L L 1 4.23

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A ﹑B ﹑Y 接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。 输入 输出 A B Y Y 电压(V ) L L L L 0 0 0 0.16 H L L L 1 0 1 4.18 H H L L 0 0 0 0.17 H H H L 0 1 1 4.18 H H H H 0 0 0 0.17 L H L H 1 1 0.17 输入 输出 A B Y L L 0 L H 1 H L 1 H H 输入 输出 A B Y Z L L 0 0 L H 1 0 H L 1 0 H H 1

数电实验报告1-数电实验报告实验一

实验一门电路逻辑功能及测试 一、实验目得 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路得引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机就是否正常,然后选择实验用得集成电路,按自己设计得实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1、1置位,分别测出电压及逻辑状态。(表1、1)

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。 (2)将电平开关按表1、2置位,将结果填入表中。 表1、2 3、逻辑电路得逻辑关系

(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分 别填入表1、3﹑表1、4。 (2)写出上面两个电路得逻辑表达式。 表1、3 Y=A ⊕B 表1、4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间得测量 用六反相器(非门)按图1、5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门得平均传输延迟时间得tpd 值 : tpd =0、2μs/6=1/30μs 5、利用与非门控制输出。 选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S对输出脉冲得控制作用: 一端接高有效得脉冲信号,另一端接控制信号。只有控制信号端为高电平时,脉冲信号才能通过。这就就是与非门对脉冲得控制作用。 6.用与非门组成其她门电路并测试验证 (1)组成或非门。 用一片二输入端与非门组成或非门 Y = A+ B = A ? B 画出电路图,测试并填表1、5 中。 表1、5 图如下: (2)组成异或门 ① 将异或门表达式转化为与非门表达式。 A ⊕B={[(AA)'B]'[A( B B)']}' ② 画出逻辑电路图。 ③ 测试并填表1、6。表1、6

数电实验2

实验二海明码校验逻辑实现 实验目的: 1)掌握总线的应用方法; 2)掌握总线出错时发现错误和纠正错误的方法; 3)掌握奇偶校验的原理; 4)掌握海明校验的编码原理及设计,调试方法。 实验原理: ●检错和校错的必要条件 8421码不具备检错能力,这是因为它的最小码距为1,当8421码的码字中有一位出错,而产生的错误代码就有可能是另一个码字,这样,无法判断它是否已出错。一种编码的检错和校错能力与最小码距的关系为:L-1=C+D; L为码组中的最小码距,C为可校错的位数,D为可检错的位数,且D>=C. ●8421海明校验码 8421海明校验码由8421码加三位校验码组成.设8421码为I1 I2 I3 I4,三位校验码为:P3 P2 P1,则8421码为下列七位代码: 位序7 6 5 4 3 2 1 8421海明码I4 I3 I2 P3 I1 P2 P1 校验码的值由下式确定: P3=I4 xor I3 xor I2 P2=I4 xor I3 xor I1 P1=I4 xor I2 xor I1 由此可得8421海明码的最小码距为3 ,故可检测并纠正一位错

误。输出时在输出端先求出校验和: S3= I4 xor I3 xor I2 xor p3 S2= I4 xor I3 xor I1 xor P2 S1= I4 xor I2 xor I1 xor P1 然后判断S3S2S1,如果代码不出错,则S3S2S1=000,否则由S3S2S1构成的二进制数指出出错位数。 实验设计: 1)实验原理图 本实验要用到总线和寄存器,整个电路设计可分为三个部 分: 数据输入部分,造错部分,检错和纠错部分。由此得设计框 图如下: 考察异或门的输入输出特性知,一位输入恒为高电平时可对另一

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

厦大数电实验二TTL与非门电路参数测试

实验二 TTL 与非门电路参数测试 一、实验目的 1、掌握TTL 与非门参数的物理意义。 2、掌握TTL 与非门参数的测试方法。 3、了解TTL 与非门的逻辑功能。 二、实验原理 7400是TTL 型中速二输入四与非门。下图为其内部电路原理图和管脚排列图。 TTL 内部原理图 管脚排列图 1.与非门参数 (1)输入短路电流I IS : 与非门某输入端接地时,该输入端流入地的电流. (2)输入高电平电流I IH : 与非门某输入端接Vcc ,其他输入端悬空或接Vcc 时,流入该输入端的电流. (3)开门电平V ON : 使输出端维持V OL 所需的最小输入高电平,通常以Vo=0.4V 时的Vi 定义。 (4)关门电平V OFF : 使输出端维持V OH 所允许的最大输入低电平,通常以Vo=0.9V OH 时的Vi 定义。 阈值电平V T :V T =(V OFF +V ON )/2

(5)开门电阻R ON 某输入端对地接入电阻,使输出端维持低电平所需的最小电阻值。 (6)关门电阻R OFF 某输入端对地接入电阻,使输出端维持高电平所允许的最大电阻值。 TTL 与非门输入端的电阻负载特性曲线: (7)平均传输延迟时间t pd : 开通延迟时间t OFF :输入正跳变上升到1.5V 相对输出负跳变下降到1.5V 的时间间隔;关闭延迟时间t ON :输入负跳变下降到1.5V 相对输出正跳变上升到1.5V 的时间间隔;平均传输延迟时间:开通延迟时间与关闭延迟时间的算术平均值,t pd =(t OFF +t ON )/2。 2.与非门电压传输特性: 3.TTL 与非门的逻辑特性: 三、实验仪器

厦大数电实验九

实验九触发器的工作特性 姓名:方睿 学号:19720132203057 一、实验目的 1、掌握并验证基本RS触发器、维阻D触发器和主从JK触发器的逻辑功能; 2、掌握触发器之间的转换。 二、实验原理 1、基本RS触发器: 与非型直接RS触发器是最简单的触发器,其由两个与非门交叉耦合而成,电路如图1所示,其特性方程如下式,特性表如图1所示。 2、维阻D触发器: 维阻D触发器的逻辑符号和功能如下: (1)低电平异步预置: D和Cp状态任意,Rd’=0,Sd’=1,Q=0;Rd’=1,Sd’=0,Q=1。(2)上升沿边沿触发特性: 当Cp上升沿来时,输出Q按输入D的状态而变化,即Qn+1=Dn 3、主从JK触发器:

主从JK触发器的逻辑符号和功能如下:(1)低电平异步预置: J、K和Cp状态任意,Rd’=0,Sd’=1,Q=0;Rd’=1,Sd’=0,Q=1。(2)下降沿电平触发特性: 当Cp下降沿来时,输出Q按Cp=1期间的JK状态变化(Cp=1期间,JK变化时,主触发器有一次翻转问题),即:Qn+1=JQ’n+K’Qn。 4、触发器间的转换: (1)转换:根据已有触发器(D、JK)和适当的逻辑门获得待求触发器。 (2)步骤: ①写出已有触发器和待求触发器状态方程。 ②变换待求触发器方程,使之形式与已有触发器形式一样。 ③根据逻辑函数相等原则,若变量相同,则:系数相等。 ④画出转换电路。 三、实验仪器及器件 1、示波器1台 2、函数信号发生器1台 3、数字万用表1台 4、多功能电路实验箱1台 四、实验内容 1、基本RS触发器: 按1搭接电路,Rd’、Sd’分别接逻辑开关K1、K2,用L1显示1Q,用L2显示1Q’,按照表1验证基本RS触发器功能。 2、维阻D触发器: SN74LS74是TTL型集成双D维阻触发器,管脚图如图: (1)连接电路,L1显示Q,L2显示Q’(2)验证Rd’和Sd’低电平异步预置功能:当Rd’=0,Sd’=1时,L1灯灭,L2灯亮; 当Rd’=1,Sd’=0时,L1灯亮,L2灯灭。(D和Cp任意)(3)验证上升沿触发特性和逻辑功能表 3、主从JK触发器: SN7476是TTL型集成双JK主从触发器,管脚图如图: (1)连接电路,L1显示Q,L2显示Q’(2)验证Rd’和Sd’低电平异步预置功能(3)验证下降沿触发特性和逻辑功能表 (2)验证Cp=1期间,当JK变化时主触发器的“一次翻转”问题。 4、触发器之间的转换:(1)D触发器转换为T’触发器:把维阻D触发器1D和1Q’连接 ②按动单脉冲按钮,每输入一个Cp上升沿T’触发器翻转一次

数电实验第二次实验报告

实验二数据选择器应用 学号161271008 一、实验目的: 1.通过实验的方法学习数据选择器的电路结构和特点。 2.掌握数据选择器的逻辑功能和它的测试。 3.掌握数据选择器的基本应用。 二、实验仪器: 三、实验原理: 1.数据选择器 数据选择器(multiplexer)又称为多路开关,是一种重要的组合逻辑部件,它可以实现从多路数据传输中选择任何一路信号输出,选择的控制由专列的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 本实验采用的逻辑器件为TTL 双极型数字集成逻辑电路74LS153,它有两个4 选1,外形为双列直插,引脚排列如图2-1 所示,逻辑符号如图2-2 所示。其中D0、D1、D2、D3 为数据输入端,Q 为输出端,A0、A1 为数据选择器的控制端(地址码),同时控制两个选择器的数据输出,S 为工作状态控制端(使能端),74LS153 的功能表见表2-1。 数据选择器有一个特别重要的功能就是可以实现逻辑函数。现设逻辑函数F(X,Y)=∑(1,2),则可用一个4 选1 完成,根据数据选择器的定义:Q (A1,A0)=A1A0D0+ A1A0D1+ A1A0D2+ A1A0D3,令A1=X,A0=Y,1S=0,1D0=1D3=0,1D1=1D2=1,那么输出Q=F。如果逻辑函数的输入变量数超过了数据选择器的地址控制端位数,则必须进行逻辑函数

降维或者集成芯片扩展。例如用一块74LS153 实现一个一位全加器,因为一位全加器的逻辑函数表达式是: S1(A,B,CI)=∑(1,2,4,7) CO(A,B,CI)=∑(3,5,6,7) 现设定A1=A,A0=B,CI 为图记变量,输出1Q=S1,2Q=CI,由卡诺图(见图2-3,图2-4)得到数据输入: 1D0=CI,1D1=CI,1D2=CI,1D3=CI,2D0=0,2D1=CI,2D1=CI,2D3=1,由此构成逻辑电路. 需要指出的是用数据选择器实现逻辑函数的方法不是唯一的,当逻辑函数的输入变量数较多时,可比较多种方法取其最优实现。 四、实验内容: 1.验证74LS153 的逻辑功能按表2-1 所列测试,特别注意所测芯A1、A0 哪一个是高位S 端是否低电平有效当芯片封锁时,出是什么电平。 记录:

数电实验实验报告

[键入文档标题] 实验一组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路74LS20集成电路 四2输入与非门双4输入与非门 二.实验内容 1.实验一 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD是什么? ABCD接逻辑电平开关。 最简表达式为:X1=AB’C’D 密码为:1001

A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 0 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。 实验二组合逻辑实验(一)半加器和全加器 一.实验目的 1.熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1.复习用门电路设计组合逻辑电路的原理和方法步骤。 2.复习二进制数的运算。 3.用“与非门”设计半加器的逻辑图。 4.完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。 5.完成用“异或”门设计的3变量判奇电路的原理图。 三.元件参考 依次为74LS283、 74LS00、74LS51、 74LS136 其中74LS51:Y= (AB+CD)’, 74LS136: Y=A⊕B(OC门)四.实验内容 1.用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟) 半加器 被加数A i0 1 0 1 0 1 0 1 加数B i0 0 1 1 0 0 1 1 前级进位C i-10 0 0 0 1 1 1 1 和S i0 1 1 0 1 0 0 1

厦大数电 实验六

实验六组合逻辑电路的分析和设计(一) 一、实验目的 1、掌握用基本逻辑门电路进行组合逻辑电路的设计方法。 2、通过实验,验证设计的正确性。 二、实验原理 1.组合逻辑电路的分析: 所谓组合逻辑电路分析,即通过分析电路,说明电路的逻辑。 通常采用的分析方法是从电路的输入到输出,根据逻辑符号的功能逐级列出逻辑函数表达式,最好得到表示输出与输入之间的关系的逻辑函数式。然后利用卡诺图或公式化简法将得到的函数化简或变换,是逻辑关系简单明了。为了使电路的逻辑功能更加直观,有时还可以把逻辑函数式转化为真值表的形式。 2.逻辑组合电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,陈伟组合逻辑电路的设计。 3、 SSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示输入变量和输出变量。 ③根据给定的因果关系列出逻辑真值表; ④写出逻辑表达式,利用化简方法进行化简,根据选定器件进行适当转换。 ⑤根据化简、变换后的逻辑表达式,画出逻辑电路的连接图。 ⑥实验仿真,结果验证。 三、实验仪器 1、多功能电路实验箱1台 2、数字万用表1台 四、实验内容 1、联锁器电路分析: 所谓联锁器即为电子锁,电路如图2所示,其输入为S1,S2,S3开关,报警和解锁输出分别为F1,F1.其中S1,S2,S3为单刀双掷开关,根据拨动可分别置”1”或”0”.当F1=”1”,表示不报警,否则报警。当F2=”1”,表示解锁,否则闭锁。现要求: (1)当连联锁器处于初始状态(S1=S2=S3=1), 则F1=1,F2=0,即闭锁不报警; (2)试用所学的知识分析电路,找出解锁并不报警的开关顺序。

厦门大学数电实验七

实验七组合逻辑电路的分析与设计(二) 一、实验目的 1、掌握用中规模集成电路设计组合逻辑电路的方法。 2、通过实验,验证设计的正确性。 二、实验原理 1.组合逻辑电路的分析设计: 根据MSI器件功能,列出电路表达式,由表达式列出真值表,说明电路功能。 2.组合逻辑电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,称为组合逻辑电路的设计。 3.MSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示两种状态。 ③根据给定的因果关系列出逻辑真值表 ④写出逻辑表达式, ⑤根据表达式查找合适的MSI器件。 ⑥通过比较表达式或真值表,利用适当的设计实现所需功能。 ⑦画出逻辑电路的连接图。 ⑧实验仿真,结果验证。 三、实验仪器及器件 1、数字万用表1台 2、多功能电路实验箱1台 四、实验内容 1.分析三位二进制比较器: 按图(2)搭接电路,用逻辑开关作为X2、X1、X0和Y2、Y1、Y0输入,用逻辑显示器显示比较结果;列出真值表,说明电路功能。 真值表如下:

电路功能:当X2=Y2、X1=Y1、X0=Y0三个同时满足时,比较器输出端Y 输出高电平,此时逻辑显示器上灯亮。由此可得:此电路是用来比较输入端X2、X1、X0与Y2、Y1、Y0是否相同,X2、X1、X0与Y2、Y1、Y0都可表示为开关两种状态,同二值逻辑0、1表示两种状态一样。所以该电路可表示三位二进制数的比较。 2.用MSI 器件设计组合逻辑电路: (1)设计联锁器;(用74LS138和与非门实现);

有联锁器电路分析可得该电路S1、S2、S3与F1、F2真值表如下 由真值表可得 F1=S1’S2’S3’+S1’S2’S3+S1’*S2*S3+S1*S2*S3 =m0+m1+m3+m7 =(m0’*m1’*m3’*m7’)’ F2=S1’S2’S3’=m0=(m0’)’ 由F1、F2的电路表达式可画出其逻辑电路的连接图如下: (2) 设计全加器;(用74LS151或74LS138和与非门实现;)

数电实验二

实验(二) 数据选择器功能测试及设计应用 一、实验目的 1.掌握中规模集成数据选择器的逻辑功能及测试方法。 2.掌握数据选择器的工作原理及使用方法。 二、实验设备与器材 实验箱一个 稳压电源一台 双四选一数据选择器74LS153 八选一数据选择器74LS151 三、实验原理 数据选择器:又称多路选择器,是中规模集成电路中应用非常广泛的组合逻辑部件之一,它有若干个数据输入端,D0.D1.…,若干个控制输入端A0,A1…和一个(或两个)输出端Q。当A0,A1…具有不同数据组合时,将选择与其相应的输出数据 D输出。控制 X 输入端也称地址码输入端。 1.双四选一数据选择器 中规模集成电路74LS153为双四选一数据选择器,逻辑符号

如图1所示,其中1G.2G分别为两个数据选择器的选通输入端,低电平有效。A.B为地址端。1C0,1C1,1C2,1C3与2C0,2C1,2C2,2C3分别为两个数据选择器的数据输入端,功能表如表1所示。 逻辑表达式: 01231(1111)1Y AB C AB C AB C AB C G =+++ 0123 2(2222)2Y AB C AB C AB C AB C G =+++ 图1 图2 表1:双4选1数据选择器逻辑功能表 2.八选一数据选择器 8选1数据选择器74LS151功能表如图2所示 其中G为数据选择器的接通输入端,低电平有效。A、B 、C 为地址码,D0—D7为手动输入端。 逻辑表达式:

01234567()Y CBAD CBAD CBAD CBAD CBAD CBAD CBAD CBAD G =+++++++ 表2:8选1数据选择器逻辑功能表 四、实验内容 1.测试74LS153的逻辑功能,验证是否和表1的功能一致。 实验结果:验证可得与表1逻辑功能一致。 即74LS153逻辑功能正确。 2.用多路数据选择器设计实现一个8421BCD 非法码检测电路, 得当输入端为非法码组合时输出1,否则为0。 二进制数与BCD码的对应关系如表3所示。写出函数Y的逻辑 表达式。画出电路图并接线调试,观察是否与表3相符。 表3:输入与输出关系

数电实验报告(含实验内容)

数电实验报告(含实验内容) 班级:专业:姓名:学号:实验一用与非门构成逻辑电路 一、实验目的 1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法 2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能 二、实验设备及器材 KHD-2 实验台 集成 4 输入2 与非门74LS20 集成 2 输入4 与非门74LS00 或CC4011 三、实验原理 本实验用的逻辑图如图 2-1 所示 图1-1 图1-1 四、实验内容及步骤 1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。 2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。 3、用与非门实现以下逻辑函数式,测试其逻辑功能,

将结果填入表1-3中。 Y(A,B,C)=A’B+B’C+AC 班级:专业:姓名:学号:五、实验预习要求 1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线 2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。 六、实验报告 1、将实验数据整理后填入相关的表格中 2、分别说明各逻辑电路图所实现的逻辑功能 A B C Z A B C Y 表1-1 表1-2 A B C Y 表1-3 班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计与测试方法 2、进一步熟悉常用集成门电路的逻辑功能及使用 二、实验设备及器材 KHD-2 实验台 4 输入2 与非门74LS20 2 输入4 与非门74LS00 或CC4011

三、实验原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。设计组合电路的一般步骤如图2-1 所示。 图 2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 四、实验内容及步骤 1、用与非门设计一个数码转换电路,将一个三位二进制码转换成3 位格雷码。即当输入信号为三位二进制代码时其输出为相应的3 位格雷码。要求: 1)分析逻辑功能,作出真值表,写出逻辑表达式。 班级:专业:姓名:学号: 2)简化逻辑表达式,画出逻辑图 3)按逻辑图连接逻辑电路并测试其逻辑功能。 2、用与非门设计一个一位的数值比较器,即比较两个1 位的二进制数A、B 的大小,假定当A>B 时,1 号灯亮,AB 时,1 号灯亮,A

厦大数电 实验二

实验二 TTL与非门电路参数测试 一、实验目的 1.了解TTL与非门参数的物理意义; 2.掌握TTL与非门参数的测试方法; 3.了解TTL与非门的逻辑功能。 二、实验原理 7400是TTL型中速二输入四与非门。下图为其内部电路原理图和管脚排列图。 1.与非门参数: (1) 输入短路电流I IS: 与非门某输入端接地时,该输入端流入地的电流. (2) 输入高电平电流: 与非门某输入端接Vcc,其他输入端悬空活结Vcc时,流入该输入端的电流. (3) 开门电平V ON:使输出端维持V OT所需的最小输入高电平,通常以Vo=0.4V时的Vi定义。 (4) 关门电平V OFF:使输出端维持V OH所允许的最大输入低电平,通常以Vo=0.9V时的Vi定义。阈值电平V T:V T=(V OFF+V ON)/2。 (5)开门电阻R ON :某输入端对地接入电阻,使输出端维持低电平所需的最小电阻值。 (6)关门电阻R OFF :某输入端对地接入电阻,使输出端维持高电平所允许的最大电阻值。 (7)平均传输延迟时间tpd: 开通延迟时间t OFF:输入正跳变上升到1.5V相对输出负跳变下降到1.5V的时间间隔;关闭延迟时间t ON:输入负跳变下降到1.5V相对输出正跳变上升到1.5V的时间间隔;平均传输延迟时间:开通延迟时间与关闭延迟时间的算术平均值,tpd=(t OFF+t ON)。 三、实验仪器 1.示波器 1台 2.函数信号发生器 1台 3.数字万用表 1台 4.多功能电路实验箱 1台

四、实验内容 1.测量输入短路电流:将与非门的每个输入端依次经过电流表接地,电流表的读数I IS。 2.测量输入高电平电流:将与非门的每个输入端依次经过电流表接5V的电源,其余输入端悬空,电流表的读数为I IH。 3.测量输出高电平V OH、输入关门电平V OFF、关门电阻R OFF: 测量方法:将任一输入端接R W=10K电位器到地,其余输入端悬空,输出端规定规定的模拟负载R L,则下拉电阻为:R L=V OH/2*N*I IH=3.6KΩ。当R W=0时,测出输出端电压V OH;若电位器阻值从零逐渐增大,输出电压下降为V OH的90%时,测出的输入电压即为关门电压V OFF,此时电位器阻值即为关门电阻R OFF。 4.测量输出低电平V OL、输入开门电平V OH、开门电阻R OH: 测量方法:将任一输入端接R W=10K电位器到地,其余输入端悬空,输出端规定规定的模拟负载R L,则下拉电阻为:R L=V OL/N*I IL=390Ω。当R W=10K时,测出输出端电压V OL;若电位器阻值从10K逐渐增小,输出电压上升为0.4V时,测出的输入电压即为开门电压V ON,此时电位器阻值即为开门电阻R ON。 表1 TTL参数 参数I IS I IH V OH V OL V ON V OFF R ON R OFF 测量值-0.985 mA 1.49uA 3.512V 0.2027 V 1.466V 0.782V 2.682k Ω 1.018k Ω 5.测量电压传输特性曲线: 输入正弦信号Vi(f=200Hz,Vip-p=5V,VIL=0V),示波器置X-Y扫描。同时X(CH1)、Y(CH2)置DC耦合,观测并定量画出与非门电压传输特性曲线,用示波器比较法测量V OH,V OL,V OFF,V ON。并与前面电压表测量数据比较。 表2 电压传输特性曲线参数 参数V OH V OL V ON V OFF 测量值 3.975V 0.192V 1.3875V 0.775V 根据以上数据作如下传输特性图: 这说明在误差允许的范围内,示波器比较法与前面电压表测量数据比较接近。 6.平均传输延迟时间的测量: 三个与非门收尾相接便构成环形振荡器,用示波器观测输出振荡波形,并测出震荡周期T,计算出平均传输延迟时间tpd=T/6。 示波器上读得T=44.1ns,故tpd=T/6=44.1ns/6=7.35ns。

数电实验报告(2013年开始新)

河 北 科 技 大 学 实 验 报 告 级 专业 班 学号 年 月 日 姓 名 同组人 指导教师 张敏 实验名称 实验二 基本门电路逻辑功能的测试 成 绩 实验类型 验证型 批阅教师 一、实验目的 (1)掌握常用门电路的逻辑功能,熟悉其外形及引脚排列图。 (2)熟悉三态门的逻辑功能及用途。 (3)掌握TTL 、CMOS 电路逻辑功能的测试方法。 二、实验仪器与元器件 (1)直流稳压电源 1台 (2)集成电路 74LS00 四2输入与非门 1片 74LS86 四2输入异或门 1片 74S64 4-2-3-2输入与或非门 1片 74LS125 四总线缓冲门(TS ) 1片 CD4011 四2输入与非门 1片 三、实验内容及步骤 1.常用集成门电路逻辑功能的测试 在数字实验板上找到双列直插式集成芯片74LS00和74LS86。按图进行连线。测试各电路的逻辑功能,并将输出结果记入表中。 门电路测试结果 2.测试与或非门74S64的逻辑功能 在实验板上找到芯片74S64,实现Y AB CD =+的逻辑功能。 Y Y &

3.用与非门组成其他逻辑门电路 (1)用与非门组成与门电路 按图接线,按表测试电路的逻辑功能。根据测得的真值表,写出输出Y的逻辑表达式。 真值表 逻辑表达式: (2)用与非门组成异或门电路 按图接线,将测量结果记入表中,并写出输出Y 的逻辑表达式。 真值表 逻辑表达式: 真值表 4.三态门测试 (1)三态门逻辑功能测试 三态门选用 74LS125将测试结果记入表中。 (2)按图接线。将测试结果记录表中。 真值表

4–46

数电实验报告

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

(5)实验过程及实验图: 1)连线图: 2)实验图:

(6)实验总结: 用两片74ls00芯片可实现如图电路功能 2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与, 故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 1)管脚图:

2)实验图 (4)实验总结:用异或门(74LS86)和与非门可组成半加器 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。

厦大数电实验六

实验名称:组合电路的分析与设计(一) 系别:机电工程系班号:电气一班实验组别:14 实验者姓名:李梦琦学号:19720132203109 实验日期:2015年4月1日 实验报告完成日期:2015年4月2日 指导教师意见:

实验六组合逻辑电路的分析与设计(一) 姓名:方睿学号:19720132203057 一、实验目的 1.掌握用基本逻辑门电路进行组合逻辑电路的设计方法; 2.通过实验,论证设计的正确性。 二、实验原理 1.组合逻辑电路的分析: 所谓组合逻辑电路分析,即通过分析电路,说明电路的逻辑。 通常采用的分析方法是从电路的输入到输出,根据逻辑符号的功能逐级列出逻辑函数表达式,最好得到表示输出与输入之间的关系的逻辑函数式。然后利用卡诺图或公式化简法将得到的函数化简或变换,是逻辑关系简单明了。为了使电路的逻辑功能更加直观,有时还可以把逻辑函数式转化为真值表的形式。 2.逻辑组合电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,陈伟组合逻辑电路的设计。 SSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示两种状态。 ③列出真值表 ④写出逻辑表达式,并进行化简,根据选定器件进行转换。 ⑤画出逻辑电路的连接图。 ⑥实验仿真,结果验证。 三、实验仪器 1.多功能电路实验箱1台 2.数字万用表1台 四、实验内容 1、连锁器电路分析: 所谓连锁器即为电子锁,电路如图所示,其输入为S1,S2,S3开关,报警和解锁输出分别为F1,F2。其中S1,S2,S3为单刀双掷开关,根据拨动可分别置”0”或”1”。当F1=”1”,表示不报警,否则报警。当F2=”1”,表示解锁,否则闭锁。现要求: (1)当连锁器处于起始状态(S1=S2=S3=“1”),则F1=1,F2=0。即:闭锁且不报警; (2)找出解锁并不报警的开关拨动顺序 由真值表可知,开关拨动顺序为S1→S2→S3 2、用SSI设计组合电路 (4)设计5421BCD码转换为8421BCD码(用双端输入与非门实现)

厦大数电 实验十八

实验十八移位寄存器及其应用 一、实验目的 1、掌握移位寄存器的结构及工作原理; 2、掌握移位寄存器的应用。 二、实验原理 1.移位寄存器原理: 移位寄存器是由多级无空翻触发器组成,其在统一的时钟脉冲控制下,每来一个时钟脉冲,原存于寄存器的信息就按照规定的方向(左或右)同步移动一位。 74194除了双向移位(左移、右移)功能外,还具有异步复位、同步保持。和并行输入功能;其功能转换由工作方式控制S1、S0控制。 74194惯用符号及管脚图如下: 表1 74194功能表 S1 S0 Cp DIR DIL D0 D1 D2 D3 Q0n+1Q1n+1Q2n+1Q3n+1 0 ×××××××××0 0 0 0 1 ××0 ××××××Q0n Q1n Q2n Q3n 1 0 0 ××××××Q0n Q1n Q2n Q3n 1 0 1 DIR ×××××DIR Q0n Q1n Q2n 1 1 0 ×DIL ××××Q1n Q2n Q3n DIL 1 1 1 ××D0 D1 D 2 D 3 D0 D1 D2 D3 2.移位寄存器的应用: 1、环形计数器: 有74194构成的右移环形计数器电路如图4所示。该电路可实现循环一个1或循环一个0两种技术方式,而当电路进入其他状态时,电路状态为无效状态;显然,该计数器不能自启动,为了实现自启动,可通过修改

反馈逻辑达到。 2、扭环形计数器: 扭环形计数器也称约翰逊计数器,其特点是计数有效状态N=2n,且相邻状态之间只有一位代码不同,因此扭环形计数器的输出所驱动的组合电路不会产生竞争—冒险。 显然该计数器不能自启动,为了实现自启动,可以通过修改反馈逻辑达到。 三、实验仪器 1.示波器 1台 2.函数信号发生器 1台 3.数字万用表 1台 4.多功能电路实验箱 1台 四、实验内容 1.集成电路功能检验: 根据功能表及集成电路管脚图,利用实验箱的逻辑电平输入Ki及逻辑电平显示Li,自拟实验步骤进行检验。将74LS194各输入、输出端分别与开关、指示灯相连 (1)置数功能 ①将清零端Rd接一高电平,S1S0接11,并输入1111,给一触发脉冲,观察输出状态。 ②同上输入另一数1010,观察输出状态。 ③改变输入的数值,重复以上实验。 (2)复位功能 ①将清零端Rd接一高电平, S1S0接1 1,并置数1111。 ②将清零端Rd接一低电平,看输出是否全零。 ③再置另一非零数,看是否输出仍为0000 (3)保持功能 ①先将输出端置任意非零状态。 ②将异步清零端Rd接一高电平,S1S0接00,输入任意四位二进制数D3D2D0D1,给一触发脉冲,看输出结果。 ③同上输入另一四位二进制数如D3D2D1D0 , 观察输出状态。 (4)右移功能

相关主题
文本预览