当前位置:文档之家› 2015可控增益射频放大器设计报告。。。

2015可控增益射频放大器设计报告。。。

摘要

系统采用四级放大,电源选用LM1875将单电源转换为双电源,选用LM317、LM337和四输出变压器组成两组直流可调稳压电源,用作正负电源,选用LMH6552芯片搭建全差分放大电路,选用宽频带压控增益放大器VCA821控制增益。放大器输入5mV,100MHz时,输出电压有效值为 2.9V大于2V,增益大于52dB;50MHz-160MHz频率范围内增益波动都在2dB内;通频带在60MHz-200MHz内。完成了所有基本部分的要求和部分发挥部分的要求。

关键词:射频放大器、VCA821、可控增益、VGA

一、系统方案论证与选择 (1)

1.1 系统电源转换选择 (1)

1.2 系统电源稳压方案 (2)

1.3 高频放大器的选择 (2)

1.4 MCU的选择 (2)

二、理论分析与参数计算 (3)

2.1 射频放大器设计 (3)

2.2 频带内增益起伏控制 (3)

2.3 射频放大器稳定性 (3)

2.4 增益调整 (3)

三、电路与程序设计 (4)

3.1 电路设计 (4)

3.11电源模块 (4)

3.12 放大模块 (4)

3.13 增益控制模块 (5)

3.2 程序设计 (5)

3.21 软件设计原理及设计工具 (5)

3.22 程序流程图 (5)

四、测试方案与测试结果 (6)

4.1 测试方案及条件 (6)

4.11 测试仪器 (6)

4.12 系统测试 (6)

4.13 测试结果分析 (7)

五、附录 (9)

1、总电路图 (9)

2、程序代码 (11)

一、系统方案论证与选择

系统主要由电源模块、放大模块、增益控制模块、最小系统模块组成。下面分别讨论这几个模块的选择。

1.1 系统电源转换选择 该系统提供+12V 单电源。在放大器设计中,若采用单电源Vcc 供电,则需将运放的输入端的一端电压抬高为Vcc/2,这样才能获得最大幅值,但是这样的设计方案会增加系统的复杂性,运放的工作电流会非常大,运放发热量大,使系统难以保证稳定工作。为此,该射频放大器采用双电源供电。

图 1

方案一:如图1.1.1,采用两只阻值一样的大功率电阻,用电阻分压的方式获得正负电源,但是这种电路自身消耗大,阻值较大时带负载的能力又太弱。 方案二:在方案一上加以改进,如图1.1.2增加两个三极管,加强了电路的带负载能力,其输出电流的大小取决于Q1和Q2的最大集电极电流ICM

。通过反馈回路可使两路负载不相同时也能保持正负电源基本对称。

图 2

方案三:将运放接成电压跟随器,输出电流取决于运放的负载能力。如需较大的输出功率,可采用开环增益提高的功放集成块,例如TDA2030、LM1875等。这种电路简单,但性能较前面电路都好。

图 1.1.1

综上,选择方案三。该芯片价格较便宜,外围器件简单,纹波小,效率高,输出功率高,符合供电要求。

1.2 系统电源稳压方案

由于射频放大器的频率非常高,对电源的要求也十分苛刻,必须保证能提供低纹波的电源。

方案一:采用7905,7805芯片设计正直流稳压电源,此系列芯片最大输出电流1.5A,能满足系统的电源要求,但是该系列稳压芯片的输出纹波比较大。

方案二:采用LM317和LM337和四输出变压器组成两组直流可调稳压电源,用作正负电源,调压范围在1.26-37V之间,纹波可低于4mv,最大输出电流为1.5A,带负载能力强。可满足题目要求。

综合分析,选择方案二。

1.3高频放大器的选择

方案一:使用分立元件搭建共基极放大器。在三极管搭建的三类放大电路中,共基极放大器电压增益大,电流增益小,输出电阻小,适合于高频工作。但由于题目要求的频率范围大,故对于放大三极管型号的选择以及电路的搭建布线等都要求较大,实行起来比较困难。

方案二:使用LMH6552芯片搭建的全差分放大电路。该芯片适用频率高,增益Av=1时,带宽可达1Ghz,带宽大,带宽内增益波动小,电路搭建布线等相对容易。VCA821是一款直流耦合型宽频带压控增益放大器,按V/V线性变换,最大工作频率宽度可达710MHz。放大器增益由控制电压决定,增益调节范围超过40dB,需单极性电压进行控制,不易产生自激。正负5V供电。有较高的输出电流和增益精确度。

综合分析,选择方案二。满足题目要求(-3dB的通频带不窄于40MHz~200MHz)。增益可控放大器在75MHz~108MHz频率范围内增益波动小,最大频率大于130MHz,满足题意(-3dB的通频带不窄于60MHz~130MHz),并且输出电流较大和增益精确度较高。

1.4 MCU的选择

方案一:选择STC89C52RC单片机

STC89C52RC以8051为内核的8位微处理器。4K程序存储器(ROM),128B 数据存储器(RAM),5V左右供电,4组8位并行I/O口,两个定时器,外接6~12M 晶振。操作简单,价格最便宜,但51单片机内部没有ADC和DAC功能,需要外接专用芯片。增加了电路的复杂程度。

方案二:选择MSP430F169单片机

MSP430F169是16位超低功耗,具有精简指令集的混合信号微处理器。工作电压范围3.3V左右。内含有定时器A和定时器B,具有捕获,比较功能。12位ADC和12位DAC,有较高的转换速度,能够满足大多数数据采集应用的需要。6组I/O口满足一般情况下使用。与此同时,价格相对便宜,且易于控制。

综合分析,我们组选择方案二。该单片机价格适中,操作较为简单,内含12位DAC,输出电压范围0~2.5V,可以控制射频放大器增益。

二、理论分析与参数计算

2.1 射频放大器设计

题目中要求放大器增益可控并且通频带上限截止频率至少为160MHz,增益至少为52dB,在50MHZ-160MHz范围内波动不大于2dB。因此射频放大器的选择需要宽带范围大,增益可变且线性控制的放大器,VCA821在性能上满足要求。题目要求放大器电压增益至少52dB,当输入电压为5mV是输出电压有效值至少为

2V 。

即峰峰值至少为5.656 V 放大器既要保证有很大的增益又需要宽频带,高平坦度。因此设计四级放大电路,以满足题目52dB的增益和40MHz-200MHz的通频带以及在50MHz-160MHz范围内增益波动小于2dB的要求。

2.2 频带内增益起伏控制

造成频带内增益起伏的原因很多,其中包括运放幅频响应不平坦及供电电源不稳定等。为了防止因为放大倍数过高而使起伏变大,所以我们将电路增加级数,将每一级的放大倍数变小,并且引入电压并联负反馈,稳定输出电压,减小输出电阻,提高带负载能力,这样可以满足带宽及增益要求且减小频带内增益起伏。调节耦合电容容值改变容抗可以控制频带内增益起伏变化。

Xc

пf

2/1

C

2.3 射频放大器稳定性

本系统为射频宽带放大器,频率很高,并且放大倍数较大,为不影响宽带,采用多级级联,但是系统稳定性容易受到影响并且容易产生自激现象。为了提高放大器的稳定性,必须要将供电电压滤波,否则容易混入高频噪声,这里我们通过屏蔽盒进一步对外界影响进行巩固。系统的稳定性主要取决于系统的相位裕量,所以必须要留有适当的相位裕量。在本系统中,将高频信号部分全部采用双面板印制,并且采用铜板大面积接地,减小接地回路,电容电阻全部采用贴片封装,减小元器件的影响。

2.4 增益调整

VCA821增益控制引脚电压在0-2V内可线性控制增益。题目要求放大器电压增益大于52dB,增益控制步长为4dB。信号通过三级放大并且在最后一级增益可控射频放大器0-40dB范围的线性控制下可以使增益达到52dB(放大倍数约398倍)且步进为4dB。

52/4=13 次 2/13≈0.15 V

因此DAC需要步进0.15 V就可以达到要求。

三、电路与程序设计

3.1 电路设计

3.11电源模块

按照题意要求将单电源转换为双电源,LM1875芯片将+12V转化为±10V。

图3

LM317和LM337组成双电源将±10V转化为±5V为系统

图4

3.12 放大模块

LMH6552构成三级全差分放大电路。

图5

3.13 增益控制模块

VCA821压控增益放大器,负载50Ω。

图6

3.2 程序设计

3.21 软件设计原理及设计工具

使用MSP430F169单片机作为控制芯片,通过对I/O端口,DAC,和液晶的初始化设置实现题目的增益步进控制和显示功能。设计工具使用软件开发平台IAR FOR MSP430 6.3

3.22 程序流程图

四、测试方案与测试结果

4.1 测试方案及条件

4.11 测试仪器

DS4054示波器,直流稳压电源,负载电阻50Ω,EE5113型无线电综合测试仪,SP30120型数字合成扫描仪。

4.12 系统测试

测试方法:测试采用点频法测量电压增益。

测试数据:

图7

4.13 测试结果分析

根据测试结果可知,三组幅频曲线中第三组效果最好。

1、放大器输入5mV,100MHz时,输出电压有效值为2.9V大于2V,增益大于

40dB;

2、50MHz-160MHz频率范围内增益波动都在2dB内;

3、通频带在60MHz-200MHz内,小于题目要求的40MHz-200MHz;

4、电压增益大于52dB时,当信号频率小于20MHz时,输出电压有效值为0.42V,不满足题意小于20dB的要求;

系统基本满足题目中的各项要求,系统能够完成各项指标得益于选择器件的准确性。选择了高增益高带宽运放LMH6552和可调高增益可衰减器件VCA821,并且采用了多种抗干扰的措施,如使用了SMA头作为输入级,严格遵守芯片厂商的布线意见。在进行个别项目测试时由于空气中以及各种仪器中存在的噪声干扰,所以对前级放大电路做了屏蔽。但是由于比赛时间限制和调参数对整体电路性能有很大影响,高通滤波器的设计达不到要求,不能达到20MHz以下频率有效滤除。

五、附录

1、总电路图

2、程序代码

/*************************************

1、按键控制DAC输出电压控制高频放大器增益

2、液晶显示增益值

*************************************/

#include "msp430.h"

#include "12864.h"

void system_init();

void DAC();

void xianshi();

void port1_initial();

float num=0;

uint shiwei,gewei;

void main()

{

system_init();

xianshi();

_EINT();

DAC();

port1_initial();

while(1)

{

shiwei=(uchar)(num*20/10); //增益十位

gewei=(uchar)(num*20)%10; //增益个位

display_graphic_8x16(1,40,*(ASCII_table+shiwei));

display_graphic_8x16(1,48,*(ASCII_table+gewei));

}

}

void system_init()

{

/********************外部时钟,看门狗配置**************************/ uint i; //声明变量

WDTCTL = WDTPW + WDTHOLD; //关闭看门狗

BCSCTL1 &= ~XT2OFF; //打开外部高速晶振

do

{

IFG1 &= ~OFIFG; //清除时钟未就绪标志 for (i = 0xFF; i > 0; i--); //等待外部高速时钟就绪 }

while ((IFG1 & OFIFG)); //外部高速时钟就绪?? BCSCTL2 |= SELM_2; //主时钟=外部高速时钟}

void xianshi()

{

initial_lcd(); //12864液晶显示初始化 clear_screen(); //clear all dots

display_graphic_16x16(1,0,zeng);

display_graphic_16x16(1,16,yi);

display_graphic_8x16(1,32,maohao);

display_graphic_8x16(1,64,zimu_d);

display_graphic_8x16(1,72,zimu_B);

}

void DAC()

{

ADC12CTL0 |= REF2_5V+REFON; //在ADC中设置DAC的参考电压,开启参考电压

DAC12_0CTL |= DAC12IR+DAC12AMP_5;

}

void port1_initial()

{

P1DIR=0x0f;

P1IE=0xf0;

P1IES=0xf0;

P1IFG=0x0f;

}

#pragma vector=PORT1_VECTOR

__interrupt void port1()

{

if(P1IFG&0x10) //按键1被按下

{

num += 0.2; //DAC电压增加0.2

DAC12_0DAT=(uint)(num/2.5*4096);

if(num>=2.4)

num=0;

}

if(P1IFG&0x20) //按键2被按下 {

num -= 0.2;

DAC12_0DAT=(uint)(num/2.5*4096);

if(num<=0.1)

num=0;

}

P1IFG=0x0f;

}

可变增益放大器

电 子 设 计 竞 赛 题目:可变增益放大器学院:自动化工程学院班级:08级自动化二班学号:200840604055 姓名:杨嘉伟 时间:2010年11月16日

设计任务 一、题目 设计制作一个增益可变的交流放大器。 二、要求 1.基本部分 (1)放大器增益可在0.5倍、1倍、2倍、3倍四档间巡回切换,切换频率为1Hz; (2)可以随机对当前增益进行保持,保持时间为5s,保持完后继续巡回状态; (3)对指定的任意一种增益进行选择和保持(保持时间为5s),保持完后返回巡回状态; (4)通过数码管显示当前放大电路的放大倍数,用0、1、2、3分别表示0.5、1、2、3倍; 2.发挥部分 (1)对于不同的输入信号自动变换增益: a.输入信号峰值为0—1V,增益为3; b.输入信号峰值为1—2V,增益为2; c.输入信号峰值为2—3V,增益为1; d.输入信号峰值为3V以上,增益为0.5; (2)通过数码管显示当前放大电路的放大倍数,用0、1、2、3分别表示0.5、1、2、3倍。 基础部分 一、设计方案及组成框图 分析设计要求,确定大致思路如下: ①这个电路可以采用反相比例放大器实现对输入信号进行放大。A u=-R f/R 控制反相比例放大电路的反馈电阻实现放大器增益的变换, 即控制R f的阻值。输出信号经过反相跟随器,使输入信号与放大信号同相。 ②想实现R f的自动变换,需的使用模拟开关进行控制。而要想实现电路的自动切换,需要使用多谐振荡器输出脉冲进行控制。 ③要想对一种增益进行选择和保持,需要用一个单稳态触发器来实现电路这一功能。 ④想随机和任意地对一种增益选择和保持,需要用到触发式单刀双掷开关以及逻辑与、逻辑或构成逻辑电路对其进行控制。 ⑤最后该电路主要部分,则通过计数器计数来控制模拟开关。另外想实现

ADS2009射频电路仿真实验实验报告

低通滤波器的设计与仿真报告 一、实验目的 (1)熟悉ADS2009的使用及操作; (2)运用此软件设计一低通录波器,通过改变C2.L1的值,使低通录波器达到预定的要求(dB值以大于—3.0以上为宜); (3)画出输出仿真曲线并标明截止频率的位置与大小。 二、低通滤波器简介 (1)定义:让某一频率以下的信号分量通过,而对该频率以上的信号分量大大抑制的电容、电感与电阻等器件的组合装置。低通滤波器是容许低于截止频率的信号通过,但高于截止频率的信号不能通过的电子滤波装置。 (2)特点与用途 特点:低损耗高抑制;分割点准确;双铜管保护;频蔽好,防水功能强。 用途:产品用途广泛,使用于很多通讯系统,如 CATV EOC 等系统。并能有效的除掉通频带以外的信号和多余的频段、频率的干扰。 低通滤波器在信号处理中的作用等同于其它领域如金融领域中移动平均数所起的作用;低通滤波器有很多种,其中,最通用的就是巴特沃斯滤波器和切比雪夫滤波器。 三、设计步骤 1,建立新项目 (1)在界面主窗口执行菜单命令【File】/【New Project...】,创建

新项目。在选择保存路径时,在“Name”栏中输入项目的名称“lab1”; (2)单击按钮“确认”,出现电路原理图设计及仿真向导对话框,按照要求进行选择选项。 2,建立一个低通录波器设计 (1)在主界面窗口,单击“New Schematic Window”图标,弹出原理图设计窗口; (2)单击“保存”图标,保存原理图,命名为“lpf1”; (3)在元件模型列表窗口中选择“Lumped-Components”集总参数元件类; (4)在左侧面板中选择电容图标,将其放置到电路图设计窗口中,并进行旋转; (5)用类似的方法将电感放置到电路图设计窗口中,并利用接地图标,把电容器的一端接地,将各个器件连接起来; (6)在元件库列表窗口选择“Simulation-S-Param”项,在该面板中选择S-parameter模拟控制器和端口Term,将其放到原理图中。双击电容“C2”并修改其参数。 低通滤波器原理图如下图1所示: 3,电路仿真 1)设置S参数控件参数 (1)双击S参数控件,打开参数设置窗口,将“Step-size”设置为0.5GHz; (2)选中【Display】选项卡,在此列出了所有可以显示在原理

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

通用可变增益放大器

通用可变增益放大器(B题) 摘要 本着简单、准确、可靠、通用的原则,采用了分级设计匹配互连的思想。本放大器系统分为前级放大部分、增益放大与控制电路部分、档位控制部分、后级稳压输出部分四部分。全系统采用单一的模拟电路方式,通过前级放大部分获得所需输入电压、输入阻抗等重要参数;通过拨码开关连接的反馈电阻进行精密全局控制,获得20dB至40dB之间分辨力不低于0.1%的可变增益范围;通过档位控制部分电路实现四个档位增益值转换,在衰减电路的作用下得到三个档位的增益值,即—20dB至0、0至20dB、20dB至40dB;最后通过后级稳压输出部分获得输出幅度不低于±8V的输出电压,此部分电路包括抑制零点漂移的调零电路。通过验证,本系统可以对输出电压数值的漂移,零点漂移等不良影响进行有效地抑制和降低。通过全面的调试和测量,使得本系统基本满足题目的基本部分和发挥部分的要求并融入了自己的创新思想,设计出了一个可控范围大、输出幅度高、稳定性好、抗干扰能力强、幅频特性好的通用可变增益放大器。

目录 摘要 (2) 目录 (3) 一、方案论证与比较 (4) 1、前级放大部分 (4) 2、增益放大与衰减控制电路 (4) 3、后级电压输出 (5) 二、系统设计 (5) 1、总体设计思路 (5) 2、主要电路原理分析与计算 (6) 2.1、前级放大电路 (6) 2.2、增益放大与控制电路 (6) 2.3、档位控制电路 (7) 2.4、电压输出电路 (7) 三、系统测试方法与测试数据 (8) 1、测试仪器 (8)

2、测试方法与测试数据 (8) 2.1、测前级放大电路 (8) 2.2、测增益放大与控制电路 (8) 2.3、各级电路调节好后,进行测量和详细记录 (8) 3、测试结果分析 (9) 3.1、测试结果分析 (9) 3.2、误差分析 (9) 3.3、测试心得 (10) 四、总结 (10) 一、方案论证与比较 1、前级放大部分 方案一:采用分立元件实现。此方案成本低,元器件易于得到,但是设计、调试难度过大,硬件电路连接与制作困难,在大赛规定的时间内很难保证作品的可靠性和指标,因此不

可变增益放大器的研究

长江大学 毕业设计开题报告 题目名称:可变增益放大器的研究院系:物理与光电学院 专业班级:应用物理11103班 学生姓名: 指导教师:李林 辅导教师:李林 开题报告日期:2015年4月2日

可变增益放大器的研究 学生:王双全物理与光电工程学院 导师:李林物理与光电工程学院 一.题目来源 题目来源于老师的科研项目 二.研究目的和意义 在大自然的空气中由于存在着各种不可预测的非理想因素,从而导致通信系统传输过程中的信号会有较大的变化,导致天线从外部接受的信号的强弱会有不同(绝大多数信号被衰减了)。而且传输信道的非线性因素的存在使得信号衰减,同时信道中的噪声也会对信号的传输有影响,导致信号的强度时大时小。信号强度的大小差别有时会很大,甚至会有几十个分贝。信号强度最大值和最小值的差值范围称为接收机的动态范围,为了使接受到的信号尽可能的可靠,自动增益控制电路(Automatic Gain Control,简称AGC)通常都是接收机系统中必不可少的。AGC 的作用是当输入信号的幅度值偏低时,AGC 会选择较大的增益使其输出的幅度值限定在一个需要的范围,同样当输入信号的幅度值偏高时,AGC 会选择较小的增益使其输出的幅度值限定在一个需要的范围,也就是说对于幅度值不固定的输入信号,AGC 可以保证输出幅度值在一定范围内,基本一致。性能优良的AGC 会把输出幅度值控制在下级ADC 最需要的输入信号动态范围内。而AGC 系统中最重要的部分就是可变增益放大器(Variable Gain Amplifier,简称VGA)。AGC 主要是由反馈控制器和控制对象(VGA)两部分组成,其中反馈控制器由电平检测器、低通滤波器、直流放大器、电压比较器、控制电压产生器构成的。而其控制着VGA 使得输出信号的幅度基本恒定不变。可变增益放大器不断的发展带动了AGC 的发展,使得AGC 在许多的测控设备、智能设备等领域的应用也越来越广泛。可变增益放大器的增益改变方式主要有连续变

射频实验报告一

电子科技大学通信射频电路实验报告 学生姓名: 学号: 指导教师:

实验一选频回路 一、实验内容: 1.测试发放的滤波器实验板的通带。记录在不同频率的输入下输出信号的 幅度,并绘出幅频响应曲线。 2.设计带宽为5MHz,中心频率为39MHz,特征阻抗为50欧姆的5阶带 通滤波器。 3.在ADS软件上对设计出的带通滤波器进行仿真。 二、实验结果: (一)低通滤波器数据记录及幅频响应曲线 频率 1.0k 500k 1M 1.5M 2.0M 2.5M 3.0M 3.5M 4..0M 4.5M 5.0M /Hz Vpp/mv 1000 1010 1020 1020 1020 1050 952 890 832 776 736 频率/Hz 5.5M 6.0M 6.2M 6.4M 6.6M 6.8M 7.0M 7.2M 7.4M 7.6M 7.8M Vpp/mv 704 672 656 640 624 592 568 544 512 480 448 频率/Hz 8.0M 8.2M 8.4M 8.6M 8.8M 9.0M 9.2M 9.4M 9.6M 9.8M 10.0M Vpp/mv 416 400 368 376 320 288 272 256 224 208 192

(二)带通滤波器数据记录及幅频响应曲线 频率 /MHz 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0 5.5 6.0 6.5 Vpp/mv 0.4 0.8 0.4 0.6 0.8 0.6 0.8 0.8 1.4 1.1 6.0 4.0 23. 8 频率 /MHz 7.0 7.2 7.4 7.6 7.8 8.0 8.2 8.4 8.6 8.8 9.0 9.2 9.4 Vpp/mv 79. 2 72. 8 66. 4 69. 6 77. 6 90. 4 108. 8 137. 6 183. 2 260 364 442 440 频率/MHz 9.6 9.8 10. 10. 2 10. 4 10. 6 10.8 11.0 11.2 11. 4 11. 6 11. 8 12. Vpp/mv 440 403 378 378 406 468 468 548 548 484 412 356 324 频率/MHz 12. 2 12. 4 12. 6 12. 8 13. 13. 2 13.4 13.6 13.8 14.

电路原理图设计及Hspice实验报告

电子科技大学成都学院 (微电子技术系) 实验报告书 课程名称:电路原理图设计及Hspice 学号: 姓名: 教师: 年06月15日 实验一基本电路图的Hspice仿真 实验时间:同组人员: 一、实验目的 1.学习用Cadence软件画电路图。 2.用Cadence软件导出所需的电路仿真网表。 3.对反相器电路进行仿真,研究该反相器电路的特点。 二、实验仪器设备 Hspice软件、Cadence软件、服务器、电脑 三、实验原理和内容 激励源:直流源、交流小信号源。 瞬态源:正弦、脉冲、指数、分线段性和单频调频源等几种形式。 分析类型:分析类型语句由定义电路分析类型的描述语句和一些控制语句组成,如直流分析(.OP)、交流小信号分析(.AC)、瞬态分析(.TRAN)等分析语句,以及初始状态设置(.IC)、选择项设置(.OPTIONS)等控制语句。这类语句以一个“.”开头,故也称为点语句。其位置可以在标题语句之间的任何地方,习惯上写在电路描述语句之后。 基本原理:(1)当UI=UIL=0V时,UGS1=0,因此V1管截止,而此时|UGS2|> |UTP|,所以V2导通,且导通内阻很低,所以UO=UOH≈UDD,即输出电平. (2)当UI=UIH=UDD时,UGS1=UDD>UTN,V1导通,而UGS2=0<|UTP|,因此V2截止。此时UO=UOL≈0,即输出为低电平。可见,CMOS反相器实现了逻辑非的功能. 四、实验步骤

1.打开Cadence软件,画出CMOS反相器电路图,导出反相器的HSPICE网表文件。 2.修改网表,仿真出图。 3.修改网表,做电路的瞬态仿真,观察输出变化,观察波形,并做说明。 4.对5个首尾连接的反相器组成的振荡器进行波形仿真。 5.分析仿真结果,得出结论。 五、实验数据 输入输出仿真: 网表: * lab2c - simple inverter .options list node post .model pch pmos .model nch nmos *.tran 200p 20n .dc vin 0 5 1m sweep data=w .print v(1) v(2) .param wp=10u wn=10u .data w wp wn 10u 10u 20u 10u 40u 10u 40u 5u .enddata vcc vcc 0 5 vin in 0 2.5 *pulse .2 4.8 2n 1n 1n 5n 20n cload out 0 .75p m1 vcc in out vcc pch l=1u w=wp m2 out in 0 0 nch l=1u w=wn .alter vcc vcc 0 3 .end 图像: 瞬态仿真: 网表: * lab2c - simple inverter .options list node post .model pch pmos .model nch nmos .tran 200p 20n .print tran v(1) v(2) vcc vcc 0 5 vin in 0 2.5 pulse .2 4.8 2n 1n 1n 5n 20n cload out 0 .75p m1 vcc in out vcc pch l=1u w=20u

一种增益可控的射频宽带放大器设计

一种增益可控的射频宽带放大器设计 射频宽带放大器是各类电子仪器与仪表里很常用、很重要的一个單元电路。为此,论述了一款增益可控的射频宽带放大器的设计选型的过程,给出了参数的计算过程和选型是要考虑的技术指标和功能。因此结论对模拟放大电路的设计具有一定的参考价值。 标签:射频;宽带放大器;参数计算;选型要求 doi:10.19311/https://www.doczj.com/doc/9b7108274.html,ki.16723198.2017.09.088 1理论计算 1.1设计要求 根据用户对高频、大信号的放大要求,课题研究小组进过分析和研究,得出下列的具体设计参数: (1)被设计的放大器的电压增益A V≥52dB,增益可控52dB,输入信号电压的有效值Vi≤5mV,其输入阻抗、输出阻抗均为50欧姆,负载电阻50欧姆,且输出电压有效值V o≥2V,波形无明显失真; (2)在50MHz~160MHz频率范围内增益波动不大于2dB; (3)-3dB的通频带不窄于40MHz~200MHz,即fL≤40MHz和fH≥200MHz; (4)电压增益A V≥52dB,当输入信号频率f≤20MHz或输入信号频率f≥270MHz时,实测电压增益A V均不大于20dB; (5)放大器采用+12V单电源供电,所需其它电源电压自行转换。 通过对上述设计要求的分析可知,此课题对宽带放大器的参数选型提出了很高的要求,诸如:压摆率、增益带宽积、最大输出功率、高频高输出摆幅等都要进行严格的计算。只有做到科学计算,才能为正确的集成放大器选型打下坚实的基础,为后续设计提供科学保障。 1.2放大器的参数计算 (1)最小增益需要达到52dB(400倍),带宽200MHz,系统增益带宽积高达8*109MHz(*此处应注意多级放大和增益分配*); (2)输入电压有效值最大5mv,需要做小信号低噪声放大;

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

基于vca820的压控增益放大器设计

基于vca820的压控增益放大器设计 摘要 对于压控增益放大器的设计,采用可调增益运放,并给出测试数据。关键词:VCA820 增益控制 一、设计要求 (一)设计要求采用压控增益放大(VCA820)。 (二)输入1mv输出1v。 (三)用TINA软件仿真,给出仿真结果,画出原理图。 二、方案设计 设计压控增益放大器有多种方案,本设计采用VCA820作为放大电路的核心部件。 VCA820的增益与控制电压成线性关系,最大带宽能达到150MHz,增益控制围为-20dB到20dB,精度较高。所以选用VCA820作为运放以达到实验的要求。

三、原理分析 电路前级可控增益放大,后级放大电路为OPA695控制的放大电路,VCA820放大电路接入反馈,经过后级放大输出达到设计的要求。系统实现框图如下: 图1 系统结构框图 四、系统硬件设计 (一)VCA820简介 TI公司的VCA820芯片是一款直流耦合、宽带宽线性放大器,通过改变控制电压能够连续改变放大倍数。它提供高阻抗单端转换的差分输入,增益控制一般通过设置增益电阻和反馈电阻从理论上最大值设定到40dB。VCA820的部结构由两个输入缓冲和集成了一个乘法器核的输出电路反馈放大级,该电路提供了一个无须外接缓冲就能有完整可变电压增益系统。最大增益由外部两个电阻设置,这为设计提供了很大灵活性。 VCA820带宽增益放大器,在控制电压作用下,该器件可以提供精确的增益,按Vout/Vin线性变化,基本增益为:Vout/Vin=RfRGVG+RfRG-RdR1,其中VG是控制电压输入,电压基本增益为(V/V),调节VG可实现对数增

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

增益可调差动放大器的设计(特别版)

说明:这篇课题设计是小酒花生为陈姐特别制作!如果需要可以进行修改,若觉得不是很满意,那么自己可以设计更好的;倘有不妥之处,还请多多指正,谢谢!!! 增益可调差动放大器的设计与仿真 物理信息学院08电科二班XXX20081030XX 摘要: 本课题设计利用增益可调放大器uA709芯片为设计核心,根据uA709的放大原理,利用公式计算出放大倍数,然后利用专业软件(如ORCAD)模拟和仿真增益可调放大器电路,并测出其电压及电压增益的实际值! 关键字:UA709LM709CN ORCAD 一﹑课题背景: 近年来随着计算机和互联网的迅速发展和普及,多媒体信息的高速传输呈现飞速增长的趋势。放大器作为集成电路的一种重要的组成部分是国内外研究的热点。目前集成放大器的研究主要集中在多级运放的补偿、宽带高速运放、满足专用放大器的特殊结构和提高通用放大器指标的方法等这几个方向。但是可调增益放大器的研究国外开展较多,国内目前已有少量关于可调增益放大器的研究,主要是基于CMOS工艺的可调增益放大器的设计放大。宽带放大器在光纤通信、电子战设备及微波仪表等方面应用越来越广泛。这些系统一般要求放大器具有增益可调、宽频带、低噪音、工艺稳定等特点。可调增益放大器是一种通过改变电路某一参对量对放大器增益进行调节的放大器,广泛应用于无线通讯、医疗设备、助听器、磁盘驱动等领域。 差动放大电路又叫差分电路,他不仅能有效的放大直流信号,而且能有效的减小由于电源波动和晶体管随温度变化多引起的零点漂移,因而获得广泛的应用。特别是大量的应用于集成运放电路,他常被用作多级放大器的前置级。 基本差动放大电路由两个完全对称的共发射极单管放大电路组成,该电路的输入端是两个信号的输入,这两个信号的差值,为电路有效输入信号,电路的输出是对这两个输入信号之差的放大。设想这样一种情景,如果存在干扰信号,会对两个输入信号产生相同的干扰,通过二者之差,干扰信号的有效输入为零,这就达到了抗共模干扰的目的。 第一个使用真空管设计的放大器大约在1930年前后完成,这个放大器可以执行加与减的工作。今日的运算放大器,无论是使用晶体管(transistor)或真空管 (vacuum tube)、分立式(discrete)元件或集成电路(integrated circuits) 元件,运算放大器的效能都已经逐渐接近理想运算放大器的要求。早期 的运算放大器是使用真空管设计,现在则多半是集成电路式的元件。但 是如果系统对于放大器的需求超出集成电路放大器的需求时,常常会利 用分立式元件来实现这些特殊规格的运算放大器。 1960年代晚期,仙童半导体(Fairchild Semiconductor)推出了第一个被广泛使用的集成电路运算放大器,型号为μA709,设计者则是鲍伯?韦勒(Bob Widlar)。但是709很快地被随后而来的新产品μA741取代,741有着更好的性能,更为稳定,也更容易使用。741运算放大器成了微电子工业发展历史上一个独一无二的象征,历经了数十年的演进仍然没有被取代,很多集成电路的制造商至今仍然在生产741。直到今天μA741仍然是各大学电子工程系中讲解运放原理的典型教材。

RLC串联谐振电路(Multisim仿真实训)

新疆大学 实习(实训)报告 实习(实训)名称: __________ 电工电子实习(EDA __________ 学院: __________________ 专业班级_________________________________ 指导教师______________________ 报告人____________________________ 学号 ______ 时间: 实习主要内容: 1. 运用Multisim仿真软件自行设计一个RLC串联电路,并自选合适的参数。 2. 用调节频率法测量RLC串联谐振电路的谐振频率f 0 ,观测谐振现象。 3. 用波特图示仪观察幅频特性。 4?得出结论并思考本次实验的收获与体会。 主要收获体会与存在的问题: 本次实验用Multisim 仿真软件对RLC串联谐振电路进行分析,设计出了准确的电路模型,也仿真出了正确的结果。通过本次实验加深了自己对RLC振荡电路的理解与应用,更学习熟悉了Multisim 仿真软件,达到了实验的目

的。存在的问题主要表现在一些测量仪器不熟悉,连接时会出现一些错误,但最终都实验成功了。 指导教师意见: 指导教师签字: 年月日 备注: 绪论 Multisim仿真软件的简要介绍 Multisim是In terctive Image Tech no logies公司推出的一个专门用于电子电 路仿真和设计的软件,目前在电路分析、仿真与设计等应用中较为广泛。该软件以图形界面为主,采用菜单栏、工具栏和热键相结合的方式,具有一般Windows 应用软件的界面风格,用户可以根据自己的习惯和熟练程度自如使用。尤其是多种可放置到设计电路中的虚拟仪表,使电路的仿真分析操作更符合工程技术人员的工作习惯。下面主要针对Multisim11.0软件中基本的仿真与分析方法做简单介绍。 EDA就是“ Electronic Design Automation ”的缩写技术已经在电子设计领 域得到广泛应用。发达国家目前已经基本上不存在电子产品的手工设计。一台电子产品的设计过程,从概念的确立,到包括电路原理、PCB版图、单片 机程序、机内结构、FPGA的构建及仿真、外观界面、热稳定分析、电磁兼容分析在内的物理级设计再到PCB钻孔图、自动贴片、焊膏漏印、元器件清 单、总装配图等生产所需资料等等全部在计算机上完成。EDA已经成为集成 电路、印制电路板、电子整机系统设计的主要技术手段。 功能: 1. 直观的图形界面 整个操作界面就像一个电子实验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的;

中山大学数字电路与逻辑设计实验报告

中山大学数字电路与逻辑设计实验报告 院系信息科学与技术学院学号 专业计算机科学类实验人 3、实验题目:AU(Arithmetic Unit,算术单元)设计。 实验内容: 设计一个半加半减器,输入为 S、A、B,其中S为功能选择口。当S=0时,输出A+B及进位;当S=1时,输出A-B及借位。 S 输入1 输入2 输出Y 进/借位Cn 0 A B A+B 进位 1 A B A-B 借位 利用三种方法实现。 (1)利用卡诺图简化后只使用门电路实现。 (2)使用74LS138实现。 (3)使用74LS151实现,可分两次单独记录和/差结果、进位借位结果或使用两块74LS151实现。 实验分析: 真值表 S A B Y Cn 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 0 0 1 0 1 1 1 1 1 0 1 0 1 1 1 0 0 卡诺图: S AB 0 1 通过卡诺图可得:Y=A B+A B 00 01 11 100 0 1 1 0 0 1 1

S AB 0 1 00 Cn=AB S +A BS 01 =(A S +A S)B 11 10 实验设计: (1)利用门电路实现。 ①利用74LS197的八进制输出端Q1、Q2、Q3作为B 、A 、S 的输入。 ②用异或门74LS86实现输出Y. ③用74LS86实现A ⊕B ,再用74LS08与B 实现与门。 (2)利用74LS138实现 ①将74LS197的Q3、Q2、Q1作为74LS138的S2、S1、S0输入,G2A 、G2B 接低电平,G1接高电平。 ②将74LS138的Y1、Y5、Y2、Y6利用74LS20实现与非门作为输出Y 。 ③ 将74LS138的Y3、Y5利用74LS00实现与非门作为输出Cn 。 0 0 0 1 1 0 0 0

收音机实验报告..

《高频电子线路》课程设计报告 题目SD-105 七管半导体收音机 学院(部)信息学院 专业通信工程 班级2011240401 学生姓名张静 学号33 指导教师宋蓓蓓,利骏

目录 一、概括……………………………………页码 二、收音机工作原理……………………………………页码 三、各部分设计及原理分析……………………页码 四、实验仿真及结果……………………………页码 五、结论…………………………………………页码 六、心得体会……………………………………页码 七、参考文献……………………………………页码

调幅半导体收音机原理及其调试 一概述:收音机的发明人类自从发现能利用电波传递信息以来,就不断研究出不同的方法来增加通信的可靠性、通信的距离、设备的微形化、省电化、轻巧化等。接收信息所用的接收机,俗称为收音机。目前的无线电接收机不单只能收音,且还有可以接收影像的电视机、数字信息的电报机等。 随着广播技术的发展,收音机也在不断更新换代。自1920年开发了无线电广播的半个多世纪中,收音机经历了电子管收音机、晶体管收音机、集成电路收音机的三代变化,功能日趋增多,质量日益提高。20世纪80年代开始,收音机又朝着电路集成化、显示数字化、声音立体化、功能电脑化、结构小型化等方向发展。 1947年、美国贝尔实验室发明了世界上第一个晶体管,从此以后.开始了收音机的晶体管时代.并且逐步结束了以矿石收音机、电子管收音机为代表的收音机的初级阶段。 调幅收音机:由输入回路、本振回路、混频电路、检波电路、自动增益控制电路(AGC)及音频功率放大电路组成输入回路由天线线圈和可变电容构成,本振回路由本振线圈和可变电容构成,本振信号经内部混频器,与输入信号相混合。混频信号经中周和455kHz陶瓷滤波器构成的中频选择回路得到中频信号。至此,电台的信号就变成了以

电子电路设计实验报告

电子电路设计实验报告 电子线路专题实验Ⅱ 一、实验要求: 1. 认真阅读学习系统线路及相关资料 2. 将键盘阵列定义为0. 1. 2------ E. F,编程实现将键盘输入内容显示在LCD显示器上。 3. 编程实现将日历、时钟显示在LED显示屏上(注意仔细阅读PCF8563资料),日历、时钟轮回显示。 4. 利用D/A转换通道(下行通道)实现锯齿波发生器;输出(1~5V)固定电压转换成(4~20mA)电流。 5. 利用A/D转换通道(上行通道)实现数据采集,将采集信号显示在LED屏上。程序要求分别具有平均值滤波、中值滤波和滑动滤波功能。 6. 将按键阵列定义成与16个语音段对应,编写程序,实现按键播放不同的语音段。 二、实验设计思路: 本次实验用c语言实现,主要包括LCD,LED,AD,DA,日历芯片,测温传感芯片。受到嵌入式系统实验的启发,将LCD,LED,I2C总线协议,键盘扫描模块接口写成一个文件库(放在library文件夹下),尽量做到调用时与底层硬件无关。通过调用库文件中的函数,实现代码的重用性。键盘,LCD的代码由于与嵌入式实验具有相通之处,因此可将高层的函数(与底层硬件无关的函数)方便地移植过来。 三、实验设计: 1.矩阵键盘扫描模块 4×4的矩阵键盘,通过扫描可得到按下键的行列值,将行列值转换为相应的对应数字0~F。函数GetKey()实现获得按键的键值。对于键盘模块对于对按键的键值识别主要是通过两次扫描而取得。对于第一次扫描,给四行键全部赋予1,然后读回键盘值,对于第二次扫描,逐行为键盘送1,每次送1后再读回键盘值,若非零,说明此行有键按下,最终确定键值。 通过调用GetKey函数构造GetChar()函数,实现获取键盘字符(’0’~’F’)的功能。

自动控制原理实验报告31418

实验一 典型环节的模拟研究及阶跃响应分析 1、比例环节 可知比例环节的传递函数为一个常数: 当Kp 分别为0.5,1,2时,输入幅值为1.84的 正向阶跃信号,理论上依次输出幅值为0.92,1.84,3.68的反向阶跃信号。实验中,输出信号依次为幅值为0.94,1.88,3.70的反向阶跃信号, 相对误差分别为1.8%,2.2%,0.2%. 在误差允许范围内可认为实际输出满足理论值。 2、 积分环节 积分环节传递函数为: (1)T=0.1(0.033)时,C=1μf(0.33μf),利用MATLAB ,模拟阶跃信号输入下的输出信号如图: T=0.1 T=0.033 与实验测得波形比较可知,实际与理论值较为吻合,理论上T=0.033时的波形斜率近似为T=0.1时的三倍,实际上为8/2.6=3.08,在误差允许范围内可认为满足理论条件。 3、 惯性环节 i f i o R R U U -=TS 1 CS R 1Z Z U U i i f i 0-=-=-=

惯性环节传递函数为: K = R f /R 1,T = R f C, (1) 保持K = R f /R 1 = 1不变,观测T = 0.1秒,0.01秒(既R 1 = 100K,C = 1μf , 0.1μf )时的输出波形。利用matlab 仿真得到理论波形如下: T=0.1时 t s (5%)理论值为300ms,实际测得t s =400ms 相对误差为:(400-300)/300=33.3%,读数误差较大。 K 理论值为1,实验值2.12/2.28, 相对误差为(2.28-2.12)/2.28=7%与理论值较 为接近。 T=0.01时 t s (5%)理论值为30ms,实际测得t s =40ms 相对误差为:(40-30)/30=33.3% 由于ts 较小,所以读数时误差较大。 K 理论值为1,实验值2.12/2.28, 相对误差为(2.28-2.12)/2.28=7%与理论值 较为接近 (2) 保持T = R f C = 0.1s 不变,分别观测K = 1,2时的输出波形。 K=1时波形即为(1)中T0.1时波形 K=2时,利用matlab 仿真得到如下结果: 1 TS K )s (R )s (C +-=

北京邮电大学数字电路实验报告

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能,并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器能够由两个半加器和一个或门构成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表 示式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)(

相关主题
文本预览
相关文档 最新文档