当前位置:文档之家› 基于DSP的软件无线电接收机研究与实现

基于DSP的软件无线电接收机研究与实现

pdf文档可能在WAP端浏览体验不佳。建议您优先选择TXT,或下载源文件到本机查看。
湖北工业大学 硕士学位论文 基于DSP的软件无线电接收机研究与实现 姓名:夏亚军 申请学位级别:硕士 专业:电力电子与电力传动 指导教师:席自强 20080501
湖咖二董大謦
学位论文原创性声明和使用授权说明
原创性声明
本人郑重声明:所呈交的学位论文,是本人在导师指导下,独立进行研究工作所取 得的研究成果.除文中已经标明引用的内容外,本论文不包含任何其他个人或集体已经 发表或撰写过的研究成果.对本文的研究做出贡献的个人和集体,均已在文中以明确方 式标明.本声明的法律结果由本人承担.
学位论文作者签名:容亚弩
日期:枷n%年厂月f17日
学位论文版权使用授权书
本学位论文作者完全了解学校有关保留,使用学位论文的规定,即:学校有权保留 并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅.本人授 权湖北工业大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采 用影印,缩印或扫描等复制手段保存和汇编本学位论文.
学位论文作者签名:象j谚斗
日期:V四年≤月lcl日
指导教师签名:
日期:加睁厂月c如日
湖北工业大学硕士学位论文


软件无线电突破了传统的无线电台以功能单一,可扩展性差的硬件为核心的设
计局限性,强调以开发性的最简单硬件为通用平台,尽可能地用可升级,可重配
置的应用软件来实现各种无线电功能的设计新思路.用户在同一硬件平台上可以 通过配置不同的应用软件来满足不同时期,不同使用环境的不同功能需求;投资 商则可以在通用的可扩展的硬件平台上,通过开发新的应用软件来满足用户或市
场的新要求,适应不断发展的技术进步.
软件无线电这一概念在个人移动通信上已经得到了应用.国际电信联盟(ivu) 所制定的IMT.2000系统标准,其主要目标是建立一个可以全球漫游,跨系统,跨 网络的无缝隙的第三代移动通信标准.而软件无线电技术将传统的模拟和数字无 线电平台转换成更具弹性的软件无线电平台,以支持多样化的通信标准.鉴于目 前器件水平的限制,很难实现射频数字化,因此采用宽带中频数字化是目前实现
软件无线电一种较为可行的方案.
本文重点研究了中频软件无线电接收机的中频处理技术.首先介绍了中频处理 技术所涉及到的采样定理,数字下变频以及多速率信号处理理论.并在此基础上, 设计了一种以高速高精度的ADC芯片AD6644,可编程数字下变频芯片AD6620 和高性能DSP器件TMS320C6713为核心的中频信号处

理的实验平台.然后,完 成了该实验平台的电路设计和硬件实现,以及AD6620的编程设计,并给出了一
种高效的DSP信号传输和处理流程.最后,通过对AD6620的在线编程和加载相
应的DSP算法模块,完成了对AM调制信号的解调,并对仿真结果进行了分析. 本文研究的中频信号处理平台具有较强的通用性和灵活性,体现了软件无线电 的基本思想,为软件无线电技术的进一步研究提供了一个理想的实验平台,也为 软件无线电接收机样机的研制提供了一种实现方案. 关键词:软件无线电,中频采样,数字信号处理,数字下变频器
_I
湖北工业大学硕士学位论文
II IIIIIII n
II———●■—■——一
Abstract
SDR(Software.Defined Radio)iS
really
a
break through
compared with the
traditional radio system which design is based on hardware of single function and insufficient expansibility.It is requiring the simplest developable hardware as the general platform,as well as adopting upgradeable and re.configurable software to create
brand
new method
which brings various radio functions.Bv working
on
a
same
this
hardware
https://www.doczj.com/doc/925290175.html,ers can磷ilize
and
software of different configuration to get diverse working
functions in different times
different
environment.Wllile
based
on
very universal and expansive hardware software. investors will surely then
platform,by simply designing new application meet the new demands of buyers and the
as well as keeping pace with the developing techniques. The concept of SDR has been widely applied in PCM. 髓璩major task of the IM孓2000 system established by H1U is to set up the
markets,
standards of the third generation of mobile communication which has the multifunction of global
roaming.interconnecting
platforms
systems and networks.Software defined radio
techniques has turned the traditional software radio So
analog and
digital radio
in order to subsist the is still
on
more flexible multiple communication standards.
to
platforms
Due to the 1imitation of the devices nowadays.it iS quite hard to digitize RF signals,
sampling wideband IF signals
TlliS paper places emphasis
a
feasible way to actualize Software
wireless.
the processing technology of the wideband IF sigrlal.firstly,the fundamentals including the Sampling theory,Digital Down Converter and Multi-rate signal processing technology,which are concerned by IF signal
processin瓯are introduced.And the IF signal processing platform was established based on the high-speed and high-precision A/D converter AD6644 and the programmable
down converter AD6620 and the high performance DSP TM¥320C67 1 3. Secondly,the circuit design and hardware implementation of the platfo

rm Was accomplished.the initial program of the AD6620 was designed and a fast method of the DSP flow of signal transfer and processing Was came uD with.Finally,the demodulation of AM Was accomplished on this platform by programming the AD6620 on.1ine and loading the corresponding DSP algorithm and the demodulation rusults were analyzed. digital
噩le and
IF signal processing
platform designed
in this paper iS universal
all
and
flexible. for the
embodies the spirit of the SDR.It provides
ideal experiment
platform
farther study of the SDR and implementation method for the production of the SDR
receiver
sample.
processing,Digital down
Keyword:software radio,IF sampling,Digital signal
converter
2
湖北工业大学硕士学位论文
第1章引言
1.1多模式接收机概念的提出
从20世纪80年代末至今,随着通信技术的不断发展,大量新兴的通信协议
和标准不断涌现,导致多种系统互连时存在复杂的问题.各种无线通信系统都限 制在一定的地理范围运行,不能与其他的系统很好地融合在民用通信方面,用户 及通信系统运营商都迫切需要系统间能相互兼容并能可靠互连.在军用通信领域
也有类似情况,美国军方感到在他们内部多兵种之间存在大量互不兼容的通信系 统及协议,大大降低执行联合作战的能力.所有这些再加上其它方面的压力,迫 使人们去寻求设计能够使用几种不同协议在多个不同的频段上进行运作的无线电 系统,既通常称之为多频段多模式无线电(MBMMR,mutilband multimode radio)的无
线电系统.
在初期,构建MBMMR的大部分工作都是试图在单个机箱内放置支持所有不 同系统所使用的硬件,不同系统之间的切换是通过改变硬件设备的激活状态来完 成的,这种无线电系统被称为组合无线电系统.显然,随着组合无线电系统不断扩 展以便支持更多的系统,该系统的规模必然不断增大,可能大到无法实现的程度. 另外组合无线电系统不具备对新的协议的兼容性. 为了避免在构建MBMMR时造成系统规模的无限制增长,必须要求硬件可以 复用.最早对无线电系统尝试进行复用的是可编程数字无线电系统伊D&
programmable digital
radio).PDR能够对简单的参数进行编程,允许对其体系结构
进行一定程度的重构,特别是对基带处理过程.然而无线电系统最主要的功能是 由硬件来定义的,这些限制了无线电系统实现MBMMR的多频段部分的能力. 将更多的无线电基本功能放到软件领域,才能使无线电系统具有更大的灵活 性,因此促进了软件无线电的提出.
1.2软件无线电的基本概念
"软件无线电"的基本概念包含三层含义: 第一是"全数字化",将宽带A/D和D/A向射频端靠近,由基带移到中

频,甚至 射频;不仅在基带数字化,而且要在中频甚至射频数字化:不仅接收机数字化,发 射机也要数字化.
第二是把硬件作为无线电通信的基本平台,而尽可能多的通信功能用软件来
湖北工业大学硕士学位论文
实现,通信体制由软件定义,故软件无线电又叫做Software-defmed Radio(SDR). 第三是软件无线电不仅仅是一种实现方法,更代表了一种新型的体制和开放 的,可扩展的,模块化的软硬件平台体系结构,实现多频段,多模式,多业务, 多个性. 软件无线电是一种复杂的数字信号处理系统,软件无线电极大的拓展了数字 信号处理的研究领域.过去,通信功能基本上是模拟实现的,软件无线电的出现使 调制解调,编码解码,信令规则与处理,信道选择,天线波束形成等都可以耀数 字信号处理来实现,"无线电"不再是一堆各式各样的硬件,而是一个统一的外客, 其核心是各种灵活的算法和软件.软件无线电的最初研究是从美军的Speakeasy多 频段多模式电台开始的,其思想很快被应用于民用移动通信,之后软件无线电的概 念已远远超出了无线通信,焉是适应于整个广义的通信领域.
1.3软件无线电的研究内容
从功能模块来看,软件无线电的研究内容主要包括以下方面:
1)数字中频尉频理论
研究宽带中频(射频)信号的采样量化和波形合成,多采样率数字信号处理, 数字化信道选择,基带调制信号与带通信号通过正交调制(解调)的数字上(下) 变频技术. 2)信号空间映射:多模式调制解调 软件无线电的一个重要特征是在基带进行调制解调,须从信号空闻角度建立 多模式调制解调理论,通过正交基函数集合来表征调制信号,从而实现基函数波 形合成调制器和基函数相关解调器,在此基础上研究数字信号的检测和模拟波形
的估计.
3)全数字化和软件信道估计与检测 信道估计主要完成信道延迟,载波频率与相位,幅度衰减等参数的估计和抑 制各种干扰的波形估计,他们是信号解调的基础.在模拟无线电和数字无线电中 往往采用反馈环的方法进行参数估计,在软件无线电中将基予软化时钟概念,采 用直接计算方法进行信道估计和信号解调,这也是软件无线电酶一个重要特点. 由于软件无线电是一种多频段多模式并与多种网络接口的系统,对信道环境的分 析和监测十分重要,包括实时频谱监控,动态频率分配,确定接收信号的方向和
能量分布等. 4)蛊适应波束形成与智能天线
6
湖北工业大学硕士学位论文
智能天线是从相控阵和数字波束形成技术基础上发展而来的,包括空间特征 矢量获取(尤其是到达方向DOA,Direction ofArdval),天线波束的数字波形等,
从而实现自适应多厢户跟踪,干扰

抑制,智能化发射等.智能天线由天线陈列和 数字信号处理网络构成,所有的参数估计,波形合成,鬻标跟踪均由数字信号处
理器实现. 5)软件无线电系统的体系结构
上面几部分是软件无线电的数字化理论基础,刘软件无线电的体系结构是关于 软件无线电系统实现的核心理论与技术.软件无线电的根本遐标是要将多频段, 多模式,多个性,多业务等特点融入一个开放的,扩展的,可拆卸和重用的系统
中.
1.4软件无线电的关键技术
软件无线电有很多优势,僵同时它也对相关技术提出了更高的要求,影响软件 无线电技术发展的主要关键技术有:开放式总线结构,宽带/多频段天线技术,宽 带数模转换技术,数字下变频技术,高速数字信号处理技术及信令处理技术等.
1.4.1开放式总线结构
软件无线电的一个重要特点就是开放性,这主要体现在软件无线电所采用的 开放式标准化总线结构上,只有采用先进的标准化总线,软件无线电才能发挥其 适应性广,升级换代方便等特点.由于软件无线电的研制国内外都起步不久,在 研制开发过程中,必须逐步形成标准化的硬件平台和软传平台,而标准化的总线 则是构筑上述两个平台的奠基石.现在的软件无线电研究和实验系统中一般采用 双总线结构,既:控制总线和高速数据总线.控制总线结构,如VME总线等,尽 可能采用现有的工业标准,以便于利用已有的软件及硬体平台,加快开发速度. 为了适应软件无线电的需求,可将VME总线作为软件无线电的首选总线,因为 VME总线是一种多机并行处理的高性能总线,是目前市场占有率最高的高档标准 总线产品.它具有如下特点:
(1)高性能:V避总线支持独立32位地址和32位数据总线.
(2)并行性:VME总线支持面向多主机的并行处理,能保证多个不同CPU 的并行处理和协调工作,并能共享系统资源. (3)实时性:VME总线具有优异的中断处理结构,并运用菊花链仲裁算法 和优先权相结合策略,给重要的任务分配高的优先权,可提高系统的实时响应能
湖北工业大学硕士学位论文
力.
(4)可靠性:VME总线的模板具有优良的防震结构和物理特性. 高速数据总线结构是软件无线电体系结构的关键,黼前还没有形成标准,世 界各国都在努力研究,以期得到适会软件无线电高速数据处理要求的总线结构标
准.
1.4.2宽带/多频段天线技术
理想的软件无线电系统的天线部分应该能够覆盖全都无线电通信频段,这对 天线技术提出了较高的要求.美军已研制出几个倍频程的宽带天线,但是效率太 底.对于大多数系统只要覆盖不同频程的几个窗口,而不必覆盖全部频段,故可 以采用组合式多频段天线的方案.这在技术上完全

可行的.如美国Adams.Russell 公司的AN.400型超宽带叶片状天线就是一个例子,可以覆盖30.400MHz和
960-1220MHz.
1.4.3宽带模数转换技术
在软件无线电通信系统中,要达到尽可能多的以数字形式处理无线信号,必须 把A/D转换尽可能地向天线端推移,这样就对A/D转换器的性能提出了更高的要 求.为保证抽样后的信号保持原信号的信息,A/D转换要求满足Nyquist准则,而 在实际应用中,为保证系统更好的性能,通常抽样率为宽带的2.5倍.一个宽带 50MHz的蜂窝移动通信系统,其抽样率要求达到125MSPS(每秒百万次抽样)以
上.一般A/D转换器难以达到这个要求.表1.1列出了现在几类典型们转换器
的分辨率,抽样率,输出数据速率以及他们各自的下一个发展目标.可以看出低 分辨率的A/D转换器最有可能运用于软件无线电通信系统中,但低分辨率A/D转 换器运用于更宽的频段也是需要进一步研究的课题.对于第三代移动通信中 WCDMA空中接口,一个载频的带宽可以是5MHz,10MHz或20MHz,目前的 MD转换技术已经基本满足要求,对更高的转换带宽要求,可以用并行A/D转换
的方法完成. 表1.1 A/D转换器目前的性能指标和下一个发展因标 分辨率
16.18b矗
现状(抽样率)/数据速率 200KSPS/3.6Mbps 20MSPS/200Mbps 500MSPS/4000Mbps
下一个发展目标
5A莲SpS
10.14bit
8bit
50MSPS
1 000MSPS
8
湖北工业大学硕士学位论文
1.4.4数字下变频技术 数字下变频(DDC--Digital
Down
Converter)是A/D变换后首先要完成的处
理工作,包括数字下变频,滤波和二次采样,是系统中数字处理运算量最大的部 分,也是最难完成的部分.一般认为,要进行较好的滤波等处理,需要对每个采 样点进行100次操作.对于一个软件无线电系统来说,若系统宽带为10MHz,则
采样率要大于25MHz.这样就需要2500MIPS(Million
Instructions Per
Second,百
万条指令)的运算能力,这是现有的任何单个DSP很难胜任的,因此一般都将DDC 这部分工作交给专用的可编程芯片完成.这样既能保留软件无线电的优点,又有
较高的可靠性.美国AD公司的DDC芯片AD6620,是一个可编程较强的芯片,
能方便的通过改变控制参数来改变信道的中心频率,带宽和二次采样率,完成从 一个带宽信号中滤出所需的带宽和频点的多个信号的功能.
1.4.5高速信号处理技术
这部分主要完成基带处理,调制解调,比特流处理和编译码等工作.这部分 工作用高速数字信号处理器(DSP)完成,这是软件无线电的一个核心部分,但也 是一个主要瓶颈.单路数字话音编译码,调制解调能用单个DSP芯片实现.当单 个DSP处理能力不足时,可采用多个DSP芯片的并行处理提高运算能力.
1.4.6信令处

理部分
在现代的移动通信系统中,信令部分已经是用软件完成,软件无线电的任务是 将通信协议及软件标准化,通用化和模块化.无线接入是无线通信的重要内容, 其协议的主体部分是公共空间接口,目前已形成许多不同的标准.因此,当用软件 无线电实现多模互联时,实现通用信令处理是必要的.这就需要把现有的各种无 线信令按软件无线电的要求划分成几个标准的层次,开发出标准的信令模块,研 究通用信令框架.
1.5软件无线电的发展状况
软件无线电的想法最早产生于20世纪70年代末,Dr.Walter Tuttlebee把当时 安装在8085微处理器的A/D和工作于VLF的无线电设备的Poke Manor
Rcsearch(Romsey
United
Kingdom)称为世界上第一个关于软件无线电技术研究的
工作场所.但是鉴于当时可编程芯片和模数转换器发展水平有限,还不可能实现 商业化,因而早期的研究都是用于军事目的的.
9
湖北工业大学硕士学位论文
20世纪80年代,美国率先在军事系统中开始了软件无线电的研究,开发和实
验.20世纪90年代,由于计算机技术,微电子技术,通信技术和VLSI技术飞速
发展,使褥全面采用软件无线电的思想成为可能.1992年5月,MITRE公司的Joe
M№la在美国电信系统会议(National
Telesystems Conference)上首次明确提出了软
件无线电的概念,其基本思想是:以通用硬件作为基本平台,将宽带A/D,D/A 尽可能靠近天线,把尽可能多的无线通信功能用软件来实现,从而将无线通信新 系统,薪产品的开发逐步转移到软件开发上来.1995年5月,以Joe Mitola的"软 件无线电结构"一文为代表,与其它论文一起构成了第一期关于软件无线电的专刊 发表以后,商用软件无线电的研究开始迅速升温. 在军用领域,美国国防部高级研究计划总署首先提出了Speakeasy计划,计划 第一阶段的冒标是研制开发多频段多模式军用电台(MBM麓&Multi Multi-Mode radio).1990年8月,美国国防部与Hazeltine公司签订了430万美元的会同,由 TRW公司作为波形软件予承包商.此计划从1991年10月开始实施,1993年5月, 开始基本设计方案论证,1994年8月,Speakeasy I的先期开发模型(ADM
Development
Advance
Mode)对政府的一些代表进行了技术演示,标志着第一阶段工作的
结束.1995年Speakeasy二期工程启动,而全面的研究工作于1997年展开. Speakeasy的目标是实现一个三军通用的无线电平台,其软件和硬件都将采用开发 式模块结构,工作频段覆盖2-2000MHz,能兼容美军现有的15种电台,并能同时 与其中的任意4种电台进行通信.
1
996年3月成立了模块化多功能信息传输系统(MMITS,Modular Multifunction System)论坛,当时全球参与模块化多功能信息传输系统的厂商有十多家,

Transfer
包括芬兰的Nokia,美国的Motorola,法国的Alcatel,瑞典的Ericsson,韩国的 Samsung电器等.1996年11月,美韩合资公司AIRCOM在北京召开国际通信展 览会,展出了多频段,基于DSP技术的软件无线电基站. 1998年4月,在日本Keio大学主办了第一届亚洲软件无线电研讨会(1stAsian
Workshop
on
Software
Radio),强调了软件无线电在提供服务中的重要意义,蔼且,
参与会议的通信领域领头企业都表示了当软件无线电技术成熟时,将从数字无线 电向软件无线电转化的意向.此次论坛也加强了整个欧洲对软件无线电的联合研
究.模块化多功能信息传输系统(},德册S)论坛改名为软件无线电(SDR)论坛,
是软件无线电结构标准的应用由军用转为商用的标志. 国内对软件无线电技术的研究,开始于1995年美国第一台高水平的软件无线 电系统SPEAKeasy问世之后.在1996年10月,我国通信"863"首先立项,开始了 对软件无线电的小规模探索,九五期间,该技术已成功地在某型号军用单兵短波
lO
湖北工业大学硕士学位论文
数字通信系统中得到应用.1998年7月,我国向ITU提交了第三代移动通信方案 TD.SCDMA中就采用了软件无线电技术.同年,我国国家自然科学基金就设立了 有关软件无线电技术的重大研究课题;由清华大学和北京邮电大学合作完成,这
表明了我国对软件无线电技术的重视.在自然科学基金的项目中,我国将开展软 件无线电的基础性和应用性研究,并以蜂窝移动通信系统的多模平台为背景,重 点研制支持两种制式以上的个人通信接收机的软件无线电平台.实验平台由一些 硬件(包括射频部分,以及由FPGA等实现的中频部分)和带有NIC的高速局域
网组成.在这个实验平台上可以运用两个频段(900MHz和1800MHz),并兼容四
种以上的传输方式(包括GSM,DCSl800,IS95,IS54等). 2002年5月28日,国家自然科学基金委员会信息科学部组织专家,对清华大 学电子工程系和北京邮电大学联合承担的国家自然科学基金资助的重点项目"软
件无线电理论与技术及其在个人通信中的应用"进行了验收.观看软件无线电实验 平台的现场演示后,专家组经认真讨论,一致认为:该课题在基础理论及试验平 台研究方面取得了重要进展,其研究成果在军事和民用领域具有广泛应用前景.
2003年至今,基于软件无线电的第三代移动通信系统TD.SCDMA在国内一些 大公司(华为,中兴,大唐等公司)开发成功,并已建立实验基站,开始组网,试
运行.
综上所述,国内外很多科研院所,研发机构,高等学府及大公司,企业都已 经投入到软件无线电技术的研究开发中,经过国内外研究学者的共同努力,对软 件无线电技术的研究已日趋深入细致,

理论上已基本成熟.虽然我国对软件无线 电技术的研究起步晚于欧美,但是关于软件无线电的研究已经得到了越来越多的 重视,理论水平基本与国外保持一致.总体而言目前国内外软件无线电的研究正
处于各种应用的具体研究实践阶段.
湖北工业大学硕士学位论文
第2章软件无线电的基本结构及理论基础
2.1软件无线电的基本结构
软件无线电的基本思想是以一个通用,标准,模块化的硬件平台为依托,通 过软件编程来实现无线电台的各种功能,从基于硬件,面向用途的电台设计方法 中解放出来.功能的软件化实现势必要求减少功能单一,灵活性差的硬件电路, 尤其是减少模拟环节,把数字化处理(刖D和D/A变换)尽量靠近天线.软件无 线电强调体系结构的开发性和全面可编程性,通过软件的更新改变硬件的配置结 构,以利于硬件模块的不断升级和扩展.理想软件无线电的组成结构如图2.1所示.
窄带
D/A
电话 数据 图象 传真
而丽iH窒兰皇兰竺pI ———石—一
L一一一h磊习d
l
DSP
窄带
A/D
图2.1软件无线电结构框图
软件无线电主要由天线,射频前端,宽带A/D.D/A转换器,通用和专用数字 信号处理器以及各种软件组成.软件无线电的天线一般要覆盖比较宽的频段,例 如1MHz"2GHz,要求频段的特性均匀,以满足各种业务的需求. 射频前端在发射时要完成上变频,滤波和功率放大等任务,接收时实现滤波, 放大和下变频等功能.在射频变换部分,宽带,线性和高效射频放大器的设计和
电磁兼容问题的处理是比较困难的.如果采用射频直接数字化方式,射频前端的
功能可以进一步简化,但对数字处理的要求提高.要实现射频直接带通采样,要 求A/D转换器有足够的工作带宽(2GHz以上),较高的采样率(一般在60MHz 以上),而且要有较高的A/D转换位数,以提高动态范围. 模拟信号进行数字化后的处理任务全部由DSP软件承担,通常把A/D转换来 的信号,经过专用数字信号处理器件(如数字下变频DDC)处理,降低数据流速 率,并把信号变至基带后,再把数据送给通用DSP进行处理.通用DSP主要完成 各种数据率相对较低的基带信号的处理,例如信号的调制解调,各种抗干扰,抗 衰落和自适应均衡算法的实现等,还要完成经信源编码后的前向纠错帧调整,比 特填充和链路加密等算法.由于DSP技术和器件的发展,高速,超高速的数字处
理器的不断涌现,如TMS320CSx,TMS320C6x和ADSP21160等,DSP已能基本
12
暑!曼I
II
湖北工业大学硕士学位论文 Ill——
Illllll罡曼曼舞
满足软件无线电的需求.如果采用多芯片并行处理的方法,其处理能力还能大大 提高.
与传统无线电系统相

比,软件无线电系统具有结构逶用,功能软件化和互操 作性好等一系列优点.图2.2酒出了这两静系统的结构方框图.
传统无线电系统结构
软件无线电体系结构
图2.2两种无线电系统结构比较图
如图2.2所示,传统模拟无线电系统的射频部分,上/下变频,滤波及基带处 理全部采用模拟方式,某个频段和某种调制方式的通信系统都对应专门的硬件结 构,丽数字无线电系统的低频部分采用数字电路(如本振用数字频率合成器,信 源编译码和调制解调由专用芯片完成),但其射频部分和中频部分仍离不开模拟电 路.与传统无线电系统相比,软件无线电系统的A/D/A变换移到了中频,并尽可 能靠近射频端,对整个系统频带进行采样,即从中频(甚至射频)开始就进行数 字化处理,这是软件无线电的一个突出特点.数字无线电采用专用数字电路,实 现单一通信功能,无可编程性可言.而软件无线电以可编程能力强的DSP器件代 替专用数字电路,使系统硬件结构与功能相对独立.这样就可基于一相对通用的 硬粹平台,通过软件实现不同的通信功能,并可对工作频率,系统频带,调制方 式和信源编码等进行编程控制,系统灵活性大为增强.软l牛无线电的硬件平台采 用模块设计,是一个开放的通信平台,这与PC的系统结构相似.这样我们就可以 基于一相对通用硬件平台,通过加载不同软件(需要时可更换插卡)来实现不同 麴遗信功能. 软件无线电的结构基本分为三种:射频低通采样数字化结构,射频带通采样 数字化结构和宽带中频带通采样数字化结构.
湖北工业大学硕士学位论文
2.重.1射频低逯采样数字化软件无线电结构
射频低通采样数字纯的软件无线电,其结构简单,把模拟电路的数量减少到 最底程度,如图2.3所示.
l
l
收发开关
t
l
H
滤波放大l
功率放大
◆l
焱囝
蛰缓
}


数字 信号 处理 器
图2.3射频低通采样数字化的理想软件无线电结构 从天线进来的信号经过滤波放大后就由A/D进行采样数字化,这种结构不仅 对A/D转换器的性能如转换速度,工作带宽和动态范围等提渤了非常高的要求, 同时对后续的DSP域AS玲(专用集成电路>的处理速度要求也特别高,因为射频 低通采样所需要的采样速率至少是射频工作宽带的两倍.例如,工作在1MHz-IGHz 的软件无线电接收机,其采样速率至少需要2GHz,这样高的采样率,A/D能否达
到暂且不说,后续的数字信号处理器也是难以满足要求的.
2.1.2射频带通采样数字化软件无线电结构
这种射频带通采样软件无线电结构与低通采样软件无线电结构的主要不同点 是:A/D前采用了宽带相对较窄的电调滤波器,

然后根据所需的处理带宽进行带 通采样.这样对A/D采样速率的要求就不高了.对藤续DSP的处理速度也可以随 之大大降低.但是这种射频带通采样软件无线电结构对A/D I作带宽的要求(实 际上主要是对A/D中采样保持器的速度要求)还是比较高的.
14
湖北工业大学硕士学位论文
2.圭.3宽带中频带通采样软件无线电结构
宽带中频带通采样软件无线电结构的组成如图2.5所示.
图2.5宽带中频带通采样软件无线电结构 这种结构与常规的超外差无线电台收/发讯机是类似的,但两者的本质区别是 中频宽带不一样,常规电台的中频宽带为窄带结构,而图2.5所示的软件无线电的 中频带宽为宽带结构.由于中频带宽不仅使前端电路(如本振频合成器等)设计 得以简化,信号经过接收通道后的失真也较小,而且与常规窄带超外差电台相比, 这种带宽中频结构再配以后续的数字化处理,使其具有更好的波形适应性,信号 带宽适应以及可扩展性.所以圈2.5所示的这种宽带中频带遥采样软件无线电从结 构形式上看似乎与常规窄带超外差魄台没有多大区别,但这季巾软件无线电从性能 上将会有质的飞跃,是窄带系统无法达到的. 由圈2.5所示的组成结构可以看出,这种软件无线电的射频前端(A/D前, D/A后的模拟预处理电路)比较复杂,它的主要功能是把射频信号变换为适合于 A/D采样的宽带中频信号或把D/A输出的宽带中频信号变换为射频.通过相对复 杂的射频前端把高频信号变换为中心频率适中,带宽适中的宽带中频信号后,给 后续的A2D采样数字化大大减轻了负担.这时与前面两种软件无线电结构相比不 仅不需要第一种结构所需要的超高速采样,也不要求第二种结构所需的商精度, 高工作带宽所需求的采样保持放大器,使A/D设计大大简化,这是射频前端复杂 性所带来的好处.在A/D器件无法满足要求的情况下,增加一点复杂性也是值得 的,况且这种带宽射频前端与窄带超外差前端相比还是相对要简单一些,无疑是 近期软件无线电一种较可行的设计方案.也是本文研究的重点.
2.2软件无线电的理论基础
软件无线电是开放性,通用性和可扩展性的最简单硬件为平台,通过加载各 种应用软件来适应不同用户,不同应用环境的不同需求,实现各种无线电功能. 软件无线电是一种以现代通信理论为基础,以数字信号处理为核心,以微电子技
湖北工业大学硕士学位论文
术为支撑的新的无线通信体系结构.其基础理论主要包括信号采样理论,多速率
信号处理理论和高校数字滤波器等.这些理论在软件无线电的研究与开发中是必
不可少的,也是非常重要酶.
2.2.1软件无线电中的信号采样理论
软件

无线电的核心思想是对由天线感应的射频模拟信号尽可能地直接进行数 字化,将其交换为适合于数字信号处理器(DSP)或计算机处理的数据流,然后通 过软件来完成各种功能,使其具有更好的可扩展性和应用环境适应性.所以,软 件无线电首先面临的问题是如何对工作频带内的信号进行数字化,也就是如何对 感兴趣的模拟信号进行采样,这些既是最基本,但也是软件无线电中最关键的问 题,对此进行详细讨论与阐述,为软件无线电研究奠定基础. 2.2.l.l基本采样定理——-N鹦落蛙采样定理 如果对某一时间连续信号(模拟信号)进行采样,当采样率达到一定数值时, 那么,根据这些采样值就能准确地确定原信号.Nyquist采样定理可以表达如下: Nyquist采样定理:设有一个频率带限信号xO),其频带限制在(O,fn)内,
如果以不小于妒2最的采样速率对x0)进行等间隔采样,得到时间离散的采样信号
《嚣)=x研疋)(其中冬l撬为采样闻隔),剡原信号z◇)将被新褥到的采样值x(n)完
全确定.
上述Nyquist采样定理告诉我们,如果以不低于信号最高频率两倍的采样速率 对带限信号进行采样,那么所得到的离散采样值就能准确地确定原信号. 2.2.1.2带通信号采样定理 由于软件无线电所覆盖斡范围一般都要求比较宽,铡如从0.1MHz到2GHz, 作为软件无线电,只有这样宽的频段才能广泛的适应性.但是如此宽的频带采用 Nyquist低通采样所需要的采样速率至少大于4GHz,目前这是不可能实现的.所 以,对于宽频带工作的软件无线电台是无法采用Nyquist采样技术来采样的,而必 须采用带逶采样.
Nyquist采样定理讨论了频谱分布在(O,厶)上的基带信号的采样问题,丽
在适用的软件无线电模式,我们从中频开始全数字化,因为有用信号只是占据了 整个中频带宽的一部分,所以如果我们将整个带宽进行采样,不仅数据非常大, 而且也包含很多无蔫信息,我们只需要进行带遥采样.
带通采样定理:设一个频率带限信号x◇),其频带限制在(五,磊)内,如
16
湖北工业大学硕士学位论文
果其采样频率丘满足:
z=鼍掣
隔采样所得到的信号采样值x(nTs)能准确地确定信号石O). 式(2.1)用带通信号的中心频率fo和频带宽度B也可以表示为:
..
缘t,
式中,n取能满足工≥2(厶-五)的最大整数(O,l,2,…),则用工进行等间
疋.盟
2疗+l
(2.2)
式中,兀=点{立,n取能满足z≥2B(B为频带宽度)的最大整数.由(2.2)
可见,当频带宽度B一定时,为了能用最低采样速率即两倍频带宽度速率(正宅B) 对带通信号进行采样,带通信号的中心频率必须满足:
J一. f一(2n.+1)B0
.
(2.3)
\上…J,
当n取不同的值时,就对应了不同的中心频

率‰,也就是说任何一个中心频率为 fon(n=0,1,2,…)带宽为B的带遭信号均可以用同样豹采样频率f_2B对信号进行采 样,这些采样均能准确地表示位于不同频段(中心频率不同)原信号. 值得指出的是,上述带通采样定理适用的前提条件是:只允许在其中的一个 频带上存在信号,丽不允许在不同的频带上同时存在信号,否则将会引起信号的
混叠.
B
为了满足这样的一个条件,可以采用跟踪滤波器的办法来解决,即在采样前 先进行滤波,如图2.6,也就是当需要对菜一个串心频率的带通信号进行采样时, 就先把跟踪滤波器调到与之对应的中心频率‰上,滤出所感兴趣的带通信号,然 后再进行采样,以防止信号的混叠.
当带宽很窄时,例如对常规的军用战术电台(V嗣7HF),其信号带宽B为
25KHz(含保护闰隔),则采样速率应取为50KHz.但是这种方法实现起来比较露 难的,主要表现在A/D前面的抗混叠滤波器无法实现,因为它要求该滤波器在整 个频带都保持相同的滤波器带宽和阻带特性,这几乎是不可能做到的. 为了解决上述闻题,可以采用所谓的超外差接收体制,即先用一个本振信号
17
湖北工业大学硕士学位论文
与输入信号进行混频(可以经过几次混频),将其变换成为统一的中频信号,然后 进行数字化.这样通过改变本振频率.无,就可以完成对不同频率信号的数字化,
而这时A/D前的信号中心频率.厶是固定不变的.如果.厶取的得当,A/D前的抗混
叠滤波器就会容易做得多.但是这墨孛超终差中频数字化体制的主要缺点就是在天 线与A/D之间增加了很多模拟信号处理环节,如混频,本振信号产生,各种滤波 等.这些模拟电路不仅会造成信号失真(特别是混频器和窄带滤波器),而且对缩 小体积,降低成本和功耗极其不利.另外,由于在天线与A/D问的模拟电路环节 过多,使{|导这种体制在对信号的适应性和可扩震性方面存在赞显鲶不足.例如一 旦模拟信道的中频带宽确定以后,要适应不同的信号带宽就存在一定的难度,另 外本振信号的频率步进(分辨率)一旦确定,对信道间隔的适应能力也就变差了. 为了改善上述中频数字机制对信号环境适应性和可扩展性,我们采用宽带中 频技术,即B>>B..这时在中频带宽B内将包含多个信道(信道数N=B愿.),至 于对带宽B内位于某一特定信道上的信号所需进行的解调,分析,识别等处理, 将由后续的信号处理器及其软件来完成,该软件主要完成数字滤波(可变带宽), 数字下交频以及解调等信号处理任务,通过加载不同的信号处理软件就可以对不 同体制,不同带宽以及不同种类信号的接收解调以及其他信号处理的任务,这样 对信号环境的适应性以及可扩展性就大大提高了.

而且由于中频带宽加宽了,本 振信号就可以按照大步进来设计,这样可以大大简化本振源的设计,有利于减小 体积,改善性能,降低成本.
2.2.2多速率信号处理技术
在实际工作中,我们经常会遇到抽样率转换的问题.一方面,要求一个数字系 统能工作在多抽样率状态,以适应不同抽样信号的需求;另一方面,对一个数字 信号,要视对其处理的需要及其自身的特征,能在一个系统中以不同的抽样频率 出现.例如,当需要将数字信号在两个具有独立时钟的数字系统之间传递时,则 要求该数字信号的抽样率要能根据时钟的不同而转换.比如在音频信号处理时, 就存在着多种抽样频率,得到立体声声音信号所用的抽样频率是48kHz,CD产品 用的抽样率是44.1kHz,而数字音频广播用的频率是32kHz.对一个信号抽样时, 若抽样率过高,必然会造成数据的冗余,则希望能在该数字信号的基础上将抽样 率减下来.一个数字信号传输系统,既可传输一般的语音信号,也可传输播视频 信号,这些信号的频率成分相差甚远,嚣此,相应的抽样频率也相差甚远.嚣此, 该系统应具有传输多种抽样率信号的能力,并自动地完成抽样率的转换.对信号 (如语音,图象)作谱分析或编码时,可用具有不同频带的低通,带通及高通滤
18
湖北工业大学硕士学位论文
波器对该信号作子带分解,对分解后的信号再作抽样率转换及特征提取,以实现 最大限度减少数据量,也即数据压缩的目的.以上几个方面都是希望能对抽样率 进行转换,或要求数字系统能工作在多抽样率状态.多速率数字倍号处理系统和 单速率数字信号处理系统不同的是信号处理过程中样点速率需要改变,丽无论提 高或降低抽样速率,都需要用数字信号处理的方法来完成.如何用数字信号处理 技术实现样点速率的改变就成了多速率数字信号处理的核心问题,改变样点速率 的技术是数字内插和抽样.近20年来,建立在抽样率转换理论及其系统实现基础 上的多抽样数字信号处理已成为现代信号处理的重要内容.多抽样数字信号处理 的核心内容就是信号抽样率的转换及滤波器组.
2.2.2.1整数倍抽取
所谓整数倍抽取是指把原始采样序列x(行)每隔(D—1)个数据取一个,以形 成一个新序列xo(m),即:
xD(磁)=x(mD)(2-4)
式中,D为正整数,抽取过程如图2.7所示,很显然如果x(嚣)序列的采样率为Z, 则其无模糊带宽为L/2.当以D倍抽取率对x(n)进行抽取后得到的抽取序N xD(撒) 之取样率为Z/D,其无模糊带宽为Z/(2D),当x(n)含有大于Z/(2D)的频率 分量时,XD(掰)就必然产生频谱混叠,导致从xo(m)中无法恢复x(n)中小于f,/(2D) 的频率分量信号. 定义一个新信号:
xb)=黜≯n坳,..J}
根据恒等式:
珏5,

丢寥警={搿'+织坳,..≥}
(2《)
19
i.IIIIH一一Illl——一.
湖北工业大学硕士学位论文
Illll;i
jI黑!!燃!!烂!!嬲!曼鼍
0
l
2
3
4
5……
n
图2.7整数倍抽取 则x'(强)可表示为:
由予xo(m)=x(mD)=x'(袖),灵,ljxp(挪)之Z变换为:
而(z)=∑勃(撒)z叫 =∑x'(Dm)z哺 Z一"
(2..0)
\'',
x'(雅)=x(磅l吉薹g.,号字l
(2.7)
由予菇'(掰)除了m为D的整数倍时不为零外,其余均为零,所以上式可重新写为:
%o)=∑x'(坍弦百
把x+(掰)表达式代入可得:
(2—9)
%(z)
五1毛D-I到+ao r桃j2x.lm P
卦珑盼?警舻
协四
去耕碍o]
湖北工业大学硕士学位论文
把z=ejw代入式(2·10)可得抽取序列勃仍)之离散傅氏变换为:
纵∽=去萋X[ej(w-2M)ID]
'X秘弹)
一2万
⑦五
广\//\ 广\//\ P -缈,F
一石 0|
' '
.
.t
l

p.\ o一‖
抽一-'1
t l ● ●
● ●
<0
一嚣,露

P,..l‖一l
!
. 一一-F
,}\.
l
/,}』蛩-一,,j
!彬£
l t I ● l
● l I - ● l ,
'
I
I l l
-
'xo占p>
l |
1

I ● ● l t
●,
,l
l
l
F
I
l l
图2.8抽取前后(D-2)的频率结构(混频>
由式(2.11)可见,抽取序列的频谱(离散傅氏变换)Xn0一)为抽取前原始序列 之频谱X0p)经频移和D个频谱的叠加和.图2.8给出了抽取前后的频谱结构变
化图.
由圈2.8可见,抽取后的频谱%0弦)产生了严重混叠,使得从%◇‖)中已
无法恢复礁X和归)中所感兴趣的信号频谱分量.但是如果首先用一数字滤波器(滤 波器宽带为万/D)对X◇一)进行滤波,使X(e抄)中只含有小于露/拶的频率分量
(对应模拟频率为妥五),再进行D倍抽取,则抽取后的频谱就不会发生混叠, u
如图2.9所示,这样石D◇‖)中的频谱成分与X'0秒)中的频谱成分是一一对应的.
或者说如@一)可以准确的表示X.pp),进一步可以说XD0妒)可以准确地表示
xo一)中小于吾或云只的频率分量信号·所以这时对xp(eJw)进行处理等同于对
X@一)的处理,僵前者的数据流速率只有后者的D分之一,大大降低了对后续处 理(解调分析等)速度的要求.
2l
湖北工业大学硕士学位论文

'》
矿)
貊 妇
一3窍
,八八I/飞 .} \刀|,||入||.
一2露
一/I"
l}
l

J
7.
L
州 ,)
I
l
l
—it/
t
}
I.l· .;
型习
l

j
n 门|j1 膊'|n 门

.
\.
一缸
/八. 肼沁』
·
l
l
一万0

2x

图2.9抽取(D=2)前后的频谱结构(无混叠)

多速率信号处理中的抽取理论是软件无线电接收机的理论基础,而对于内插 理论则是软件无线电发射机的理论基础.本文不作详细讨论. 2.2.2.2抽取的多级实现 在实际设计中,当抽取倍数D很大时,所需的滤波器之阶数将非常高,乃至 无法实现,因此,我们可以考虑采用分级抽取,使滤波器的阶数大为减小,这样, 可以大大降低对滤波器的设计要求. 设输入采样速率为Z=100MHz,抽取倍数D为500,即最终需要得到200kHz 的采样速率,信号宽带为50kHz,要求阻带衰减小予0.001,则当采用窗函数法设 计这样的滤波器时所需的滤波器数N为:
Ⅳ=号等36
14.×△厂

"
(2.∽
.一'
式中,葶为阻带衰减,鲈为过渡带宽度,五为采样速率. 把8=0.001,Ⅳ=100-50=50kHz,Z=100MHz代入式(2.12)可得:N=7250,也
就是说要实现这样的窄带滤波器用窗函数法需要7250阶,这样高阶数的滤波器实 现起来是非常困难的.解决这一问题的方法是采用多级实现,我们可以设两极抽 取倍数分别为日=50,红=10(D一叠D2),这时对第一级81=8/2=0.0005,
湖北工业大学硕士学位论文
颤=0.95MHz,五l=100MHz,所需的滤波器阶数为:Ⅳl=427,对于第二级
岛一万/2=o.0005,馘=O.05MHz,工2=2MHz,所需的滤波器阶数为:Ⅳ2=163.
可见分级抽取后,使滤波器的阶数大为减小.在进行每一级滤波器设计时要 注意两点:一是每级滤波器的通带宽度不能小于信号宽带.二是过渡带是爵交的, 取决于每一级的抽取陪数,即过渡带的截止频率.庀不能大于该级输出取样率的一 半.有一点需要指出,如果单级实现时,对通带带宽内波动要求为艿,若用M级 实现时,如果把每一级的带内波动设计成一样,则每一级带内波动应为氏=81M.
2.2.3高效数字滤波器
根据以上对抽取处理的原理进行的讨论可以看出,数字信号经过抽取后,数 字信号的采样频率可以极大降低,但是数字信号本身所包含的基带信号并不因为 其采样频率酶降低丽丢失,抽取后的数字信号中仍然保留了所有有用的基带信号. 实现高采样频率数字信号的抽取处理关键之处,在于设计高效的数字滤波器对输 入的数字信号序列进行低通滤波处理,选择合适的前级低通滤波器是软件无线电 系统设计中实现抽取处理的首要问题. 从低通滤波器所实现的功能悉言,娄然可以采用最普通的具有线性相移的有 限冲激响应(FIR)滤波器来实现低通滤波,由予FIR滤波器本身的处理效率很低, 需要在如此高的采样频率下完成一系列乘加运算,这种方法将对实现抽取处理的 专用DSP芯片的处理速度提出非常高的要求(大于300M辟S),在工程上将难以实 现.因此选择低透滤波方法时必须在系统输入数字信号采样频率很高的前提下, 进行谨慎的

设计,采用结构简单,处理高效的低通滤波器满足工程实现的具体要 求.下面介绍两种非常适用高采样频率数字信号抽取处理的高效滤波器的实现结 构及原理,这是抽取能否实现的关键所在.
2.2.3.1积分梳状滤波器
积分梳状(Cascade
Integrator
Comb,CIC)滤波器又称为简单整系数梳状滤波
器,是在高速抽取或插值系统中非常有效的单元.它结构简单,处理速度高,最 大的优点是不需要进行乘法运算,可以对高速数据流进行低通滤波和抽取因予不 是2的幂次倍的抽取处理.它常用在多级抽取的第一级.设抽取因子为D,则CIC 滤波器具有下述特性: 1)单级CIC的冲激响应具有如下形式:
,,,
fl,0 s拧s D—l
缀露,=10,其它(2-13)
湖北工业大学硕士学位论文
式中,D即是CIC滤波器的阶数(等于滤波器的抽取因子).
2)单级CIC滤波器的传递函数为:
即,=》心~=苦
n∞O
日(z)=∑办(胆)彳"=兰j
l一'
=七·O—z加)
2■—i.弋l—z歹 =Hl(z)·H2(z)
(2-14)
其中,
置(z)2毒
胃2(力=l—z一
(2-15) (2.16)
由上式看避,CIC滤波器由两部分组成,即积分器羁0≥和微分器段:(z)的级 联.单级CIC滤波器的示意图如图2.10所示
图2.10单级CIC滤波器的实现 CIC滤波器的基础是完美的零极点抵消,要实现这样一个事实,只有使用精确 的积分算法才是惟一可行的.二进铡於码就具有支持无误差算法的能力,系统中 的运算是采用二进制补码的形式运行的.在二进制补码中,算法是以模2b执行, 因此,虽然累加器会有溢出的情况发生,但二进制补码系统的精确算法会自动地 对积分器的溢掘进幸予补偿,依然可以得到正确的输出结果,不会受到累加器溢出
的影响.
令z=P归,代入式(2.14)中,则可以得到CIC滤波器的频率响应劈0‖)为:
日(口一)=r≥(1_e-JWD)
I一口….
拳触岸)Sa—t(≯晦
位特性的,其憾频特性如图2.1l所示.
(2-17)
式中,Sa(x)=sin(x)/x,为抽样函数,丽且Sa(O)=1.可以看出它是满足线性相
24
湖北工业大学硕士学位论文
图2.II梳形滤波器豹幅频特性 为了降低旁瓣电平,可以采用多级CIC滤波器级联的办法来解决.单级的CIC滤 波器的阻带衰减难以满足较高要求,为了加大阻带衰减,可以用Q个积分梳状滤 波器级联.Q个单级CIC滤波器级联得到的传递函数为:
蚝(z)=研(1-z-D)Q
(2-18)
图2.12所示为采用Q个单级CIC滤波器级联实现D倍抽取的等效结构.
图2.12多级CIC滤波器的实现 则Q级CIC滤波器实现的频率响应为:
k(Pp)l=
i l ‖群(警)舻(詈)(2-19) slni_j
潍T l
≈Q[-20lg(b)]=Q%
(2.20)
.锄1口
抽取因子D的选取需要考虑两方面因素: 1)满足阻带衰减要求,Q级CI

C滤波器的阻带为:
班扣g塑sin(bz)
其中带宽比例嚣子6然z%,当b<>l时,上式等号成立.显然,
增大抽取因子,可以获得更大的阻带衰减.
湖北工业大学硕士学位论文
3)满足通带容差要求,也就是说带宽因子的选取需考虑在缈=蛾时的幅度不
能下降太多.若该容差为拶,则可求得:
跏.t司铡
眷20
(2.21)
仍设峨=6警代入可得:艿=20l
例如:当b=0.1时,万≈0.143批;当b=0.05时,艿群0.036dB.
也就是说从带内平坦度考虑,带宽因子b也不能太大,否则会引起高频失真. 同理我们可以得到Q级CIC滤波的带内容差为; 萨=Q·6 也就是说Q级CIC滤波器的带内容差也是单级时的Q倍.由此可以看出多级 级联虽然能增大阻带衰减,减小混叠影响,但会增大带内容差.所以CIC滤波器 的级联数是有限的,不宣太多,一般以5阶为限. CIC滤波器的实现较为简单,尤其适合于作抽取运算,当作D倍抽取时,只 要将数据流中每连续D个数据做一次累加平均即可,计算高效.对一些要求不太 高的应用场合可直接应用,但对有些场合却需要后续的滤波器来进行通带整形. 2.2.3.2半带滤波器(HBF) 半带滤波器(Half-Band Filter)在多速率信号处理中有着特别重要的位置,因 为这种滤波器特别适合于实现D=2舸倍(即2的幂次方倍)的抽取或内插,而且 计算效率商,实时性强.在高倍抽取时,大都采用多个半带滤波器级联的方式. 半带滤波器有如下特性: 董)半带滤波器的冲激响应纛(珂)为实数,丑为偶对称,即h(n)=磁一群),长度 N2L+I为奇数,其冲激响应应满足:
(2.22)
D/b>>1时,sinc争≈告一毛吨l蚓
蠡(彩端1,n=0晓,±4.…
也就是说半带滤波器的冲激响应h(n)除了零点不为零以外,在其余偶数点处全为
湖北工业大学硕士学位论文
零,所以采用半带滤波器来实现抽取时,只需一半的计算量,有很高的计算效率, 特别适合进行实时处理. 2)其频率响应满足以下关系:
{coA坝一OJc
【以=如=艿
(2-23)
或者说半带滤波器的阻带宽度(万一国.)与通带宽度%是相等的,且通带阻带误
差容限也是相等的,如图2.13所示(其中%及纨分别为滤波器的通带上限和阻
带下限频率).
国.
别2



图2.13半带滤波器的频率响应 可证骧半带滤波器具有如下性质:
tH(es'/2)=0.5
2.3本章小结
lH(e归)+劈(g"加们)=1
Q艺4'
本章研究了软件无线电的基本结构,对软件无线电的三种结构射频低通采样 数字化结构,射频带通采样数字化结构和宽带中频带通采样数字纯结构进行了全 方位的分析,并对信号采样理论,多速率信号处理技术和高效数字滤波器等软件 无线电的基础理论进行了研究.
湖北工业大学硕士学

位论文
第3章软件无线电接收机硬件设计
软件无线电接收机主要包括高速A/D部分设计,数字下变频部分设计和数字 信号处理部分设计.本章针对以上3个部分分别介绍高速ADC AD6644,数字下
变频器AD6620,高速DSP TMS320C6713的工作原理及其在中频软件无线电接收 机予系统中的应用.
3.1硬件介绍
3.1.1高速A/D模数转换器(ADC)
软件无线电的核心思想之一就是要将ADC,DAC尽可能地靠近天线.这就给 ADC的采样率,采样精度,动态范围等特征提出了很高的要求.ADC的特性指标 包括采样率,采样精度,信噪L-t;(SNR,SignalNoiseRate),无寄生动态范曛(SFDR,
Spurious.Free Dynamic
Range),模拟输入带宽及功耗等.对高速应用来说,ADC
动态特性是非常重要的,而SNR和SFDR是能够比较准确地衡量ADC动态特性 的重要参数.表3.1给出了ADC转换所需的采样速率及动态范围要求.可见,随 频率和带宽的增大,所需的动态范围也将增大. 表3.1不同信号ADC转换的要求
转换信号类别 HF基带信号 Music信号 ,,IIF-q腿F基带信号 A/D采样频率 动态范围
24—_64dB 48—毛4dB
5—8Knz 8—32KItz 2—100KHZ 2一10^耐z
25.一500Wlz
6四6dB
48—-96dB
酐中频信号
vHF-叫IHF基带信号
6嗍6dB
ADC的基本原理框图如圈3.1所示.

圈3.l模数转换器原理框图 模拟输入信号首先通过一个抗混叠滤波器,将带外频谱滤除,然后进行采样
湖北工业大学硕士学位论文
和量化.ADC的关键参数由ADC电路参数决定.例如,采样的精度和线性度由
采样——保持电路决定,而采样时钟抖动可以导致ADC输出产生噪声,量化器决 定了ADC的分辨率,缓冲器限制了ADC的最大吞吐率. 目前商用的综合性能最好的是ADI公司推如的AD6644.AD6644是一个离速 (采样率可达65MSPS),高性能单片14bitA/D转换器,其片中包括了采样/保持电 路和参考电压电路.AD6644是ADI公司So舭ell接收器芯片组中的一个代表芯片, 它是专门为第三代移动遴信系统设计的ADC芯片,较以前的AD9042(12bit, 41MH)和AD6640(12bit,65MHz)其性能指标有了明显的提高.它特别适用于 多通道,多模式接收机的应用.由于在奈奎斯特频率范围内,AD6644的SFDR互 调指标超过了100dB,所以,和其它ADC芯片相比,它更加适用予多模式数字接 收机(软件无线电).同时,AD6644的SNR的典型值也达到了74dB.AD6644还 可以应用于单通道数字接收机中,如宽通道宽带系统中(CDMA,Wr-CDMA).通 过欠采样,可以将谐波成分分配到有用宽带之外,配合使用数字下变频芯片(如 AD6620)可降低有用信号带宽内的噪声. AD6644的内部结构如图3.2所示,采用三级快阗式结构.第一级ADC的宽 度为5位,第二级ADC的宽度也为5位,第三级ADC的宽度为6位,加起来是 16位,其

中两位用于两极之间的误差校正,所以最后实际输出为14位.这种设计 既保证了所需要靛转换精度和转换速度,又降低了功耗,同时也减小了横片尺寸. AD6644内部包括了采样/保持电路,参考电压电路,线性放大器和校正位,使得 其微分非线性非常小.
£§霸》器矗嚣e《¥V嚣laity稚l薯D投
靠ll
静l矗
瓣秘蠢
封彳嚣唾静5
拜蓐蚤毒
静2狂1
蚤O
图3.2 AD6644的内部结构
AD6644蠹部结构近乎完美,不过这种高性能ADC芯片对外部电路的要求却 比较高,一点小小的干扰,不正确的信号偶合,采样时钟的抖动等因素都会影响 其性能的发挥.AD6644芯片采用小型表面贴装52脚LQFP封装.封装外形如图 3.3所示,弓|脚的定义如表3.2所示.
湖北工业大学硕士学位论文
糗攮区
髫寒耄蓉耄塞荔嚣耄塞8霪耄
图3.3 AD6644的封装外形 表3.2 AD6644引脚定义
引脚名
DV∞ GND
引脚号
1,33,43 4,7,10,13,15,17,19,2l ,23,25,27,29 2,34,42 3 5,6 8,9,14,16,18,22,26,28 ,30 l熏,12 20.24 31 32 35
功能 3.3V数字电源 模拟地 数字地
GND Vw
2."内郝基准瞧医嚣要0.1弘F的砖状遗容旁路要4遮
时钟差分输入端 蓦V模接鲢电源输入 模拟信罨差分输入溃 内部偏疑点,用0.1uF电容旁路到地 无连接 激出位,蕊位鬏不模拟猿人超过FS 输出数据电压中值,大约等于D‰/2 并纛羧避数字l《位 数据输出准备
ENC/ENC
AVoc
髓毓f AlN C1/C2 DNC
ovR DMID
36-41.4扣5l
52
D0-D13 DRY
AD6644芯片以图3.3中的分割线为基准分成模拟和数字鼹部分,模拟区主要 是输入端,包括时钟电路和模拟信号输入电路;数字区主要是输出端,包括14bit 并行数据的输出和状态位输出.
湖北工业大学硕士学位论文
AD6644芯片的主要性能指标如下:
·保持采样率可达到65MSPS;
·信噪比典型值为74∞;
·SFDR为100dB; ·输入带宽为250MHz;
·采样抖动小予300fS; ·内含采样保持电路和基准源; ·功耗典型值为1.3W,最大值为l.5W; ·3.3V的CMOS兼容数字输出.
3.1.2数字下变频器(DDC)
中频带宽信号经过AD6644带通采样后,接下来厦临的问题是对极大量数据流 的处理.由于DSP的处理瓶颈,软件无线电接收机中引入数字下变频器(DDC),数 字下变频器负责从宽带高数据流的数字信号中提取所需的窄带信号,滤除带外噪 声,将其下变频为数字基带信号,并转换成较低的数据流,以便DSP实时处理. 从工作原理讲,数字下变频与模拟下变频是一样的,就是输入信号与一个本地振 荡信号的乘法运算.数字下变频经两个相乘器所构成的混频器,将输入来的数字 信号和复正弦信号产生器产生的正交正弦信号相乘,相乘结果为I,Q两路信号; 再分别经过抽取滤

相关主题
文本预览
相关文档 最新文档