当前位置:文档之家› 杭电计组实验8-实现R型指令的CPU设计实验

杭电计组实验8-实现R型指令的CPU设计实验

杭电计组实验8-实现R型指令的CPU设计实验
杭电计组实验8-实现R型指令的CPU设计实验

杭州电子科技大学计算机学院

实验报告

实验项目:

课程名称:计算机组成原理与系统结构设计

姓名:学号:同组姓名:学号:实验位置(机号):自己的笔记本

实验日期:指导教师:

过程控制系统实验报告材料(最新版)

实验一、单容水箱特性的测试 一、实验目的 1. 掌握单容水箱的阶跃响应的测试方法,并记录相应液位的响应曲线。 2. 根据实验得到的液位阶跃响应曲线,用相关的方法确定被测对象的特征参数T和传递函数。 二、实验设备 1. THJ-2型高级过程控制系统实验装置 2. 计算机及相关软件 3. 万用电表一只 三、实验原理 图2-1单容水箱特性测试结构图由图2-1可知,对象的被控制量为水箱的液位H,控制量(输入量)是流入水箱中的流量Q1,手动阀V1和V2的开度都为定值,Q2为水箱中流出的流量。根据物料平衡关系,在平衡状态时 Q1-Q2=0 (1)

动态时,则有 Q1-Q2=dv/dt (2) 式中 V 为水箱的贮水容积,dV/dt为水贮存量的变化率,它与 H 的关系为 dV=Adh ,即dV/dt=Adh/dt (3) A 为水箱的底面积。把式(3)代入式(2)得 Q1-Q2=Adh/dt (4) 基于Q2=h/RS,RS为阀V2的液阻,则上式可改写为 Q1-h/RS=Adh/dt 即 ARsdh/dt+h=KQ1 或写作 H(s)K/Q1(s)=K/(TS+1) (5) 式中T=ARs,它与水箱的底积A和V2的Rs有关:K=Rs。 式(5)就是单容水箱的传递函数。 对上式取拉氏反变换得 (6) 当t—>∞时,h(∞)=KR0 ,因而有K=h(∞)/R0=输出稳态值/阶跃输入当 t=T 时,则有 h(T)=KR0(1-e-1)=0.632KR0=0.632h(∞)

式(6)表示一阶惯性环节的响应曲线是一单调上升的指数函数,如图 2-2 所示。当由实验求得图2-2所示的阶跃响应曲线后,该曲线上升到稳态值的63%所对应的时间,就是水箱的时间常数T。该时间常数 T也可以通过坐标原点对响应曲线作切线,切线与稳态值交点所对应的时间就是时间常数T,由响应曲线求得K和T后,就能求得单容水箱的传递函数。如果对象的阶跃响应曲线为图2-3,则在此曲线的拐点D处作一切线,它与时间轴交于B点,与响应稳态值的渐近线交于A点。图中OB即为对象的滞后时间τ,BC为对象的时间常数T,所得 的传递函数为: 四、实验内容与步骤 1.按图2-1接好实验线路,并把阀V1和V2开至某一开度,且使V1的开度大于V2的开度。 2.接通总电源和相关的仪表电源,并启动磁力驱动泵。

过程控制实验报告

过程控制实验 实验报告 班级:自动化1202 姓名:杨益伟 学号:120900321 2015年10月 信息科学与技术学院 实验一过程控制系统建模 作业题目一: 常见得工业过程动态特性得类型有哪几种?通常得模型都有哪些?在Simulink中建立相应模型,并求单位阶跃响应曲线、 答:常见得工业过程动态特性得类型有:无自平衡能力得单容对象特性、有自平衡能力得单容对象特性、有相互影响得多容对象得动态特性、无相互影响得多容对象得动态特性等。通常得模型有一阶惯性模型,二阶模型等、 单容过程模型 1、无自衡单容过程得阶跃响应实例 已知两个无自衡单容过程得模型分别为与,试在Simulink中建立模型,并求单位阶跃响应曲线。 Simulink中建立模型如图所示: 得到得单位阶跃响应曲线如图所示:

2、自衡单容过程得阶跃响应实例 已知两个自衡单容过程得模型分别为与,试在Simulink中建立模型,并求单位阶跃响应曲线。 Simulink中建立模型如图所示: 得到得单位阶跃响应曲线如图所示:

多容过程模型 3、有相互影响得多容过程得阶跃响应实例 已知有相互影响得多容过程得模型为,当参数, 时,试在Simulink中建立模型,并求单位阶跃响应曲线在Simulink中建立模型如图所示:得到得单位阶跃响应曲线如图所示:

4、无相互影响得多容过程得阶跃响应实例 已知两个无相互影响得多容过程得模型为(多容有自衡能力得对象)与(多容无自衡能力得对象),试在Simulink中建立模型,并求单位阶跃响应曲线。 在Simulink中建立模型如图所示: 得到得单位阶跃响应曲线如图所示:

杭电计组实验报告10

计组实验十 老师:包健 一、源代码测试模块代码: module Top( inputinclk, inputmem_clk, inputrst, outputreg[7:0] LED, input [3:0] SW ); wireclk; MyButtonmb( .clk_100MHz(mem_clk), .BTN(inclk), .BTN_Out(clk) ); wire [31:0] ALU_F; wire [31:0] M_R_Data; wire ZF; wire OF; wire [31:0]PC; My_I_CPUmy_i_cpu( .clk(clk), .mem_clk(mem_clk), .rst(rst), .ALU_F(ALU_F), .M_R_Data(M_R_Data), .ZFF(ZF), .OF(OF), .PC_out(PC) ); always@(*) begin case(SW) 4'd0:LED=ALU_F[7:0]; 4'd1:LED=ALU_F[15:8]; 4'd2:LED=ALU_F[23:16]; 4'd3:LED=ALU_F[31:24]; 4'd4:LED=M_R_Data[7:0];

4'd5:LED=M_R_Data[15:8]; 4'd6:LED=M_R_Data[23:16]; 4'd7:LED=M_R_Data[31:24]; 4'd8:LED={ZF,6'd0,OF}; 4'd12:LED=PC[7:0]; 4'd13:LED=PC[15:8]; 4'd14:LED=PC[23:16]; 4'd15:LED=PC[31:24]; default:LED=8'b0000_0000; endcase end endmodule 顶层模块代码: moduleMy_I_CPU( inputclk, inputmem_clk, inputrst, output [31:0] ALU_F, output [31:0] M_R_Data, output ZFF, output OF, output [31:0]PC_out ); //wire clk_n = ~clk; wire[31:0] codes; wire [31:0]PC_new; reg [31:0]PC; Inst_Fetch1 inst_fetch( .PC(PC), .rst(rst), .clk(clk), .Inst_codes(codes), .PC_new(PC_new) ); wire[5:0] OP; wire[5:0] func;

计算机过程控制实验报告

计算机过程控制实验报告

实验1 单容水箱液位数学模型的测定实验 1、试验方案: 水流入量Qi 由调节阀u 控制,流出量Qo 则由用户通过负载阀R 来改变。被调量为水位H 。分析水位在调节阀开度扰动下的动态特性。 直接在调节阀上加定值电流,从而使得调节阀具有固定的开度。(可以通过智能调节仪手动给定,或者AO 模块直接输出电流。) 调整水箱出口到一定的开度。 突然加大调节阀上所加的定值电流观察液位随时间的变化,从而可以获得液位数学模型。 通过物料平衡推导出的公式: μμk Q H k Q i O ==, 那么 )(1 H k k F dt dH -=μμ, 其中,F 是水槽横截面积。在一定液位下,考虑稳态起算点,公式可以转换成 μμR k H dt dH RC =+。 公式等价于一个RC 电路的响应函数,C=F 就是水容,k H R 0 2= 就是水阻。 如果通过对纯延迟惯性系统进行分析,则单容水箱液位数学模型可以使用以下S 函数表示: ) 1()(0 += TS S KR S G 。 相关理论计算可以参考清华大学出版社1993年出版的《过程控制》,金以慧编著。 2、实验步骤: 1) 在现场系统A3000-FS 上,将手动调节阀JV201、JV206完全打开,使下水箱闸板具有 一定开度,其余阀门关闭。 2) 在控制系统A3000-CS 上,将下水箱液位(LT103)连到内给定调节仪输入端,调节仪 输出端连到电动调节阀(FV101)控制信号端。 3) 打开A3000-CS 电源,调节阀通电。打开A3000-FS 电源。 4) 在A3000-FS 上,启动右边水泵(即P102),给下水箱(V104)注水。 给定值 图1 单容水箱液位数学模型的测定实验

杭电计组期末习题-包健

微操作控制信号 图1 图2 题1、某8位模型机采用微程序控制器,结构如图1所示。其中MEM为主存,R0~R3是通用寄存器。各部件的控制信号均已标出,控制信号的命名准则是:‘→’符号前的是数据发送方部件,‘→’符号后的是数据接收方部件,并且控制信号中的B表示总线;J1#控制指令译码,其他读写信号具有普通意义。例如:B→DA1表示总线上的数据送入DA1暂存器;ALU→B#表示ALU运算的结果送到总线上(低电平有效)。 (1)图1中有22个微操作控制信号,另外3个信号J1#~J3#是用于微指令转移的判别测试条件。在微指令中,控制字段采用直接控制法,判别测试字段采用译码法编码,下址字段8位,则微指令字长多少位?该模

型机的控存容量是多少?MEM→DA1,微指令必须发送的微操作控制信 号是什么? (2)模型机的某条指令的微程序流程图如图2所示,写出该条指令的功能、寻址方式、指令第二字的含义。 (3)(5分)根据图1所示的数据通路,写出ADD RD,[ADDR]指令的微程序流程图。 指令功能为(RD)+(ADDR)→RD,即寄存器RD的内容加内存单元ADDR的 内容送回RD寄存器。指令格式如下: 题2、设32位计算机主存容量为4GB,存储器按字节编址,Cache容量为256KB,每块32字节,Cache按2路组相联方式组织,则主存地址至少需(1) 位,其中“标记”字段(2) 位,Cache组地址(3) 位,块内地址(4) 位,主存地址656DECH可映射到Cache的第(5) 行。 题3:设X=2.75,Y= -12/32 (1)写出X和Y的规格化浮点数,设浮点数阶码6位(包含一位符号位)用移码表示, 尾数6位(包含一位符号位)用补码表示,阶码在前,尾数在后; (2)用浮点数计算[X+Y]浮,请写出计算过程。

过程控制实验报告

东南大学自动化学院 实验报告 课程名称:过程控制实验 实验名称:水箱液位控制系统 院(系):自动化专业:自动化姓名:学号: 实验室:实验组别: 同组人员: 实验时间: 评定成绩:审阅教师:

目录 一、系统概论 (3) 二、对象的认识 (4) 三、执行机构 (14) 四、单回路调节系统 (15) 五、串级调节系统Ⅰ (18) 六、串级调节系统Ⅱ (19) 七、前馈控制 (21) 八、软件平台的开发 (21)

一、系统概论 1.1实验设备 图1.1 实验设备正面图图1.2 实验设备背面图 本实验设备包含水箱、加热器、变频器、泵、电动阀、电磁阀、进水阀、出水阀、增压器、流量计、压力传感器、温度传感器、操作面板等。 1.1.2 铭牌 ·加热控制器: 功率1500w,电源220V(单相输入) ·泵: Q40-150L/min,H2.5-7m,Hmax2.5m,380V,VL450V, IP44,50Hz,2550rpm,1.1kw,HP1.5,In2.8A,ICL B ·全自动微型家用增压器: 型号15WZ-10,单相电容运转马达 最高扬程10m,最大流量20L/min,级数2,转速2800rmp,电压220V, 电流0.36A,频率50Hz,电容3.5μF,功率80w,绝缘等级 E ·LWY-C型涡轮流量计: 口径4-200mm,介质温度-20—+100℃,环境温度-20—+45℃,供电电源+24V, 标准信号输出4-20mA,负载0-750Ω,精确度±0.5%Fs ±1.0%Fs,外壳防护等级 IP65 ·压力传感器 YMC303P-1-A-3 RANGE 0-6kPa,OUT 4-20mADC,SUPPLY 24VDC,IP67,RED SUP+,BLUE OUT+/V- ·SBWZ温度传感器 PT100 量程0-100℃,精度0.5%Fs,输出4-20mADC,电源24VDC

计组实验报告

计算机组成原理实验报告 实验1:VERILOG 设计基础 专业班级:14级计算机二班 学号:14048001 姓名:杨娜 学号:14048003 姓名:周蓉 实验地点:理工楼901 实验时间:2016年5月14日

实验十VGA显示控制器的设计 一、实验目的 1、学习VERILOG的基本语法和编程规则 2、掌握通用寄存器等常用基本数字模块的VERILOG描述和基本设计方法 3、理解带使能控制和异步清零的8位寄存器的设计原理 4、掌握使用VERILOG设计和验证带使能控制和异步清零的8位寄存器的方法 5、掌握移位寄存器的设计方法 二、实验任务 1、设计一个带使能控制和异步清零的8位寄存器REG8X,实现8位输入的锁存,在时钟的上升沿处得到一个8位的输出和一个8位的反向输出,将结果显示在发光二极管。 模块的端口描述如下: 模块的参考物理结构如下: R7 R6 R i R 0 7 6 i 0 带使能控制和异步清零的8位寄存器 模块的使用注意事项

1.数据源D(7..0)一直加在寄存器的数据输入端; 2.周期性的时钟信号Clock一直加在寄存器的时钟输入端 3.使能信号Enable控制寄存器是否接受数据。当Enable = '0'时,寄存器不 接受数据,保持原来的状态不变;当Enable = '1'时,在时钟信号Clock正 跳变时,寄存器接受并保存当时D(7..0)的数据; 4.本寄存器其它方面的功能与上述的寄存器相同。 完成的参考电路图如下:dout=q 2、设计一个有左、右移位功能的8位寄存器REGSHIFT8,并仿真验证。

三、实验内容 1、通过输入数据先进行计算,并通过实验进行验证REG8X。 (1)、将清零信号Resetn(sw17)设为0,将输入信号D(sw7~sw0)设为10101010,观察输出信号Q(ledr7~ledr0)和Qb(ledg7~ledg0),观察并记录输出。 (2)、将清零信号Resetn(sw17)设为1,在时钟信号处输入一个上升沿(按下key0),观察并记录输出。 (3)、将输入信号D(sw7~sw0)设为01010101,观察并记录输出。 (4)、在时钟信号处输入一个上升沿(按下key0),观察并记录输出。 (5)、自行完善设计表格,观察并记录测试输出。 实验数据表 2、通过输入数据先进行计算,并通过实验进行验证REGSHIFT8。 (1)、测试清零信号Resetn (2)、测试移位功能 (3)、测试寄存功能 (4)、自行设计表格观察并记录测试输出。 实验数据表

过程控制工程实验报告

成绩________ 过程控制工程 实验报告 班级:自动化10-2 姓名: 曾鑫 学号:10034080239 指导老师:康珏

实验一液位对象特性测试(计算机控制)实验 一、实验目的 通过实验掌握对象特性的曲线的测量的方法,测量时应注意的问题,对象模型参数的求取方法。 二、实验项目 1.认识实验系统,了解本实验系统中的各个对象。 2.测试上水箱的对象特性。 三、实验设备与仪器 1.水泵Ⅰ 2.变频器 3.压力变送器 4.主回路调节阀

m in y ?——被测量的变化量 m ax y ——被测量的上限值 m in y ——被测量的下限值 2) 一阶对象传递函数 s e s T K G τ-+= 1 00 K ——广义对象放大倍数(用前面公式求得) 0T ——广义对象时间常数(为阶跃响应变化到新稳态值的63.2%所需要的时间) τ——广义对象时滞时间(即响应的纯滞后,直接从图测量出) 五、注意事项 1. 测量前要使系统处于平衡状态下,反应曲线的初始点应是输入信号的开始作阶跃信号的 瞬间,这一段时间必须在记录纸上标出,以便推算出纯滞后时间τ。测量与记录工作必须 2. 所加扰动应是额定值的10%左右。 六、实验说明及操作步骤

1.了解本实验系统中各仪表的名称、基本原理以及功能,掌握其正确的接线与使用方法,以便于在实验中正确、熟练地操作仪表读取数据。熟悉实验装置面板图,做到根据面板上仪表的图形、文字符号找到该仪表。熟悉系统构成和管道的结构,认清电磁阀和手动阀的位置及其作用。 2.将上水箱特性测试(计算机控制)所用实验设备,参照流程图和系统框图接好实验线路。 3.确认接线无误后,接通电源。 4.运行组态王,在工程管理器中启动“上水箱液位测试实验” 阶液位对象。 按钮观察输出曲线。 6.在 会影响系统稳定所需的时间)。 7.改变u(k)输出,给系统输入幅值适宜的正向阶跃信号(阶跃信号在5%-15%之间),使系统的输出信号产生变化,上水箱液位将上升到较高的位置逐渐进入稳态。 8.观察计算机中上水箱液位的正向阶跃响应曲线,直至达到新的平衡为止。 9.改变u(k)输出,给系统输入幅值与正向阶跃相等的一个反向阶跃信号,使系统的输出信号产生变化,上水箱液将下降至较低的位置逐渐进入稳态。 10. 为止。 11.曲线的分析处理,对实验的记录曲线分别进行分析和处理,处理结果记录于表格2-1。 七、实验报告

杭电计组实验1-全加器设计实验

杭电计组实验1-全加器设计实验 杭州电子科技大学计算机学院实验报告实验项目:实验1-全加器设计实验课程名称:计算机组成原理与系统结构课程设计姓名: 学号: 同组姓名: 学号: 实验位置(机号): 自己的笔记本实验日期: 指导教师: 实验内容(算法、程序、步骤和方法)一、实验目的(1),学习ISE工具软件的使用及仿真方法(2)学习FPGA程序的下载方法(3)熟悉Nexys3实验板(4)掌握运用VerilogHDL进行结构描述与建模的技巧和方法(5)掌握二进制全加器的原理和设计方法二、实验仪器ISE工具软件三、步骤、方法(1)启动XilinxISE 软件,选择File-NewProject,输入工程名,默认选择后,点击Next按钮,确认工程信息后点击Finish按钮,创建一个完整的工程。 (2)在工程管理区的任意位置右击,选择NewSource命令。弹出NewSourceWizard对话框,选择VerilogModule,并输入Verilog文件名shiyan1,点击Next按钮进入下一步,点击Finish完成创建。 (3)编辑程序源代码,然后编译,综合;选择Synthesize--XST项中的CheckSyntax右击选择Run命令,并查看RTL视图;如果编译出错,则需要修改程序代码,直至正确。 (4)在工程管理区将View类型设置成Simulation,在任意位置右击,选择NewSource命令,选择VerilogTestFixture选项。输入文件名shiyan1_test,点击Next,点击Finish,完成。编写激励代码,观察仿真波形,如果验证逻辑有误,则修改代码,重新编译,仿真,直至正确。 (5)由于实验一并未链接实验板,所以后面的链接实验板的步骤此处没有。 操作过程及结果一、操作过程实验过程和描述: moduleshiyan1(A,B,C,F,Ci);inputA,B,C;outputF,Ci;wireA,B,C,F,Ci;wi reS1,S2,S3;xorXU1(F,A,B,C),XU2(S1,A,B);andAU1(S2,A,B),AU2(S3,S1,C);or OU1(Ci,S2,S3);endmodule仿真代码 moduleshiyan1_test;//InputsregA;regB;regC;//OutputswireF;wireCi;/ /InstantiatetheUnitUnderTest(UUT)shiyan1uut(.A(A),.B(B),.C(C),.F(F),. Ci(Ci));initialbegin//InitializeInputsA=0;B=0;C=0;//Wait100nsforgloba lresettofinish#100;//AddstimulushereA=0;B=0;C=0;#100A=0;B=0;C=1;#100A =0;B=1;C=0;#100A=0;B=1;C=1;#100A=1;B=0;C=0;#100A=1;B=0;C=1;#100A=1;B= 1;C=0;#100A=1;B=1;C=1;EndRTL图 二、结果 思考题: ((1)根据查看顶层模块RTL的最外层的输入输出接口,和实验指导书式(14.1)所示电路相比,该电路图的输入输出引脚和这个加法器的引脚图式是相符合的。 ((2))尝试使用数据流描述方式现实现

过程控制系统实验报告

《过程控制系统实验报告》 院-系: 专业: 年级: 学生姓名: 学号: 指导教师: 2015 年6 月

过程控制系统实验报告 部门:工学院电气工程实验教学中心实验日期:年月日 姓名学号班级成绩 实验名称实验一单容水箱液位定值控制实验学时 课程名称过程控制系统实验及课程设计教材过程控制系统 一、实验仪器与设备 A3000现场系统,任何一个控制系统,万用表 二、实验要求 1、使用比例控制进行单溶液位进行控制,要求能够得到稳定曲线,以及震荡曲线。 2、使用比例积分控制进行流量控制,能够得到稳定曲线。设定不同的积分参数,进行 比较。 3、使用比例积分微分控制进行流量控制,要求能够得到稳定曲线。设定不同的积分参数,进行比较。 三、实验原理 (1)控制系统结构 单容水箱液位定值(随动)控制实验,定性分析P, PI,PD控制器特性。 水流入量Qi由调节阀u控制,流出量Qo则由用户通过负载阀R来改变。被调量为水位H。使用P,PI , PID控制,看控制效果,进行比较。 控制策略使用PI、PD、PID调节。 (2)控制系统接线表 使用ADAM端口测量或控制量测量或控制量标号使用PLC端 口 锅炉液位LT101 AI0 AI0 调节阀FV101 AO0 AO0 四、实验内容与步骤 1、编写控制器算法程序,下装调试;编写测试组态工程,连接控制器,进行联合调试。这些步骤不详细介绍。

2、在现场系统上,打开手阀QV-115、QV-106,电磁阀XV101(直接加24V到DOCOM,GND到XV102控制端),调节QV-116闸板开度(可以稍微大一些),其余阀门关闭。 3、在控制系统上,将液位变送器LT-103输出连接到AI0,AO0输出连到变频器U-101控制端上。 注意:具体哪个通道连接指定的传感器和执行器依赖于控制器编程。对于全连好线的系统,例如DCS,则必须安装已经接线的通道来编程。 4、打开设备电源。包括变频器电源,设置变频器4-20mA的工作模式,变频器直接驱动水泵P101。 5、连接好控制系统和监控计算机之间的通讯电缆,启动控制系统。 6、启动计算机,启动组态软件,进入测试项目界面。启动调节器,设置各项参数,将调节器的手动控制切换到自动控制。 7、设置PID控制器参数,可以使用各种经验法来整定参数。这里不限制使用的方法。 五、实验结果记录及处理 六、实验心得体会: 比例控制特性:能较快克服扰动的影响,使系统稳定下来,但有余差。 比例积分特性:能消除余差,它能适用于控制通道时滞较小、负荷变化不大、被控量不允许由余差的场合。 比例微分特性:对于改善系统的动态性能指标,有显著的效果。

《过程控制系统》实验报告

《过程控制系统》实验报告 学院:电气学院 专业:自动化 班级:1505 姓名及学号:任杰311508070822 日期:2018.6.3

实验一、单容水箱特性测试 一、 实验目的 1. 掌握单容水箱阶跃响应测试方法,并记录相应液位的响应曲线。 2. 根据实验得到的液位阶跃响应曲线,用相关的方法确定被测对象的特征参数T 和传递函数。 二、 实验设备 1. THJ-FCS 型高级过程控制系统实验装置。 2. 计算机及相关软件。 3. 万用电表一只。 三、 实验原理 图1 单容水箱特性测试结构图 由图 2-1 可知,对象的被控制量为水箱的液位 h ,控制量(输入量)是流入水箱中的流量 Q 1,手动阀 V 1 和 V 2 的开度都为定值,Q 2 为水箱中流出的流量。根据物料平衡关系,在平衡状态时02010=-Q Q (式2-1),动态时,则有dt dV Q Q = -21,(式2-2)式中 V 为水箱的贮水容积,dt dV 为水贮存量的变化率,它与 h 的关

系为Adh dV =,即dt dh A dt dV =(式2-3),A 为水箱的底面积。把式(2-3)代入式(2-2)得dt dh A Q Q =-21(式2-4)基于S R h Q =2,S R 为阀2V 的液阻,(式2-4)可改写为dt dh A R h Q S =-1,1KQ h dt dh AR S =+或()()1s 1+=Ts K s Q H (式2-5)式中s AR T =它与水箱的底面积A 和2V 的S R 有关,(式2-5)为单容水箱的传递函数。若令()S R S Q 01=,常数=0R ,则式2-5可表示为()T S KR S R K S R T S T K S H 11/000+-=?+= 对上式取拉氏反变换得()()T t e KR t h /01--=(式2-6),当∞→t 时()0KR h =∞,因而有()0/R h K ∞==输出稳态值/阶跃输入,当T t =时,()() ()∞==-=-h KR e KR T h 632.0632.01010,式2-6表示一阶惯性响应曲线是一单调上升的指数函数如下图2-2所示 当由实验求得图 2-2 所示的阶跃响应曲线后,该曲线上升到稳态值的 63%所对应的时间,就是水箱的时间常数 T 。该时间常数 T 也可以通过 坐标原点对响应曲线作切线,切线与稳态值交点所对应的时间就是 时间常数 T ,由响应曲线求得 K 和 T 后,就能求得单容水箱的传递函 数如式(2-5)所示。 如果对象的阶跃响应曲线为图 2-3,则在此曲线的拐点 D 处作一切线,它与时间轴交于 B 点,与响应稳态值的渐近线交于 A 点。图中OB 即为对象的滞后时间

计算机组成原理实验

实验一 实验目的:通过了解高级语言源程序和目标机器代码的不同表示及其相互转换,深刻理解高级语言和机器语言之间的关系,以及机器语言和不同体系结构之间的关系。 实验要求:对教材1.3.5 节给出的hello.c 源程序进行编译、链接,最终生成可执行目标代码。 实验报告: 1. 说明你做实验的过程(重要步骤用屏幕截图表示)。 第一步:下载FlexHEX软件。 第二步:编写hello.c源代码。#include Int main() { Printf(“Hello!\n”); Return 0; } 第三步:用FlexHEX打开hello world源程序 第四步:用FlexHEX打开hello world可执行文件。 第五步:比较源程序文件的内容和可执行文件的内容 2. 给出源程序(文本文件)的内容(用十六进制形式表示)。 3. 给出可执行目标文件(二进制文件)的内容(用十六进制形式表示)。

4.(1)分析同一个源程序在不同机器上生成的可执行目标代码是否相同。 答:不相同。因为对于不同的机器其硬件组成不同,例如:CPU。所以同一个源程在不同机器上生成的可执行目标代码是不同的。 (2)你能在可执行目标文件中找出函数printf ()对应的机器代码段吗?能的话,请标示来。 答:不能。因为源程序中的printf函数在可执行文件中已转换为机器语言。被翻译的机器语言中有printf函数,但是不知道是从哪一段开始翻译的。 (3)为什么源程序文件的内容和可执行目标文件的内容完全不同? 答:因为源程序文件时可以直接编写的。而可执行文件是被机器语言翻译过后的执 行内容。所以内容不同。

浙工大过程控制实验报告

浙工大过程控制实验报告 202103120423徐天宇过程控制系统实验报告 实验一:系统认识及对象特性测试 一实验目的 1了解实验装置结构和组成及组态软件的组成使用。 2 熟悉智能仪表的使用及实验装置和软件的操作。 3熟悉单容液位过程的数学模型及阶跃响应曲线的实验方法。 4学会有实际测的得单容液位过程的阶跃响应曲线,用相关的方法分别确定它们的参数,辨识过程的数学模型。二实验内容 1 熟悉用MCGS组态的智能仪表过程控制系统。 2 用阶跃响应曲线测定单容液位过程的数学模型。三实验设备 1 AE2000B型过程控制实验装置。 2 计算机,万用表各一台。 3 RS232-485转换器1只,串口线1根,实验连接线若干。四实验原理 如图1-1所示,设水箱的进水量为Q1,出水量为Q2,水箱的液面高度为h,出水阀V2固定于某一开度值。根据物料动态平衡的关系,求得: 在零初始条件下,对上式求拉氏变换,得:

式中,T为水箱的时间常数(注意:阀V2的开度大小会影响到水箱的时间常数),T=R2*C,K=R2为单容对象的放大倍数, R1、R2分别为V1、V2阀的液阻,C 为水箱的容量系数。 阶跃响应曲线法是指通过调节过程的调节阀,使过程的控制输入产生一个阶跃变化,将被控量随时间变化的阶跃响应曲线记录下来,再根据测试记录的响应曲线求取输入输出之间的数学模型。本实验中输入为电动调节阀的开度给定值OP,通过改变电动调节阀的开度给定单容过程以阶跃变化的信号,输出为上水箱的液位高度h。电动调节阀的开度op通过组态软件界面有计算机传给智能仪表,有智能仪表输出范围为:0~100%。水箱液位高度有由传感变送器检测转换为4~20mA的标准信号,在经过智能仪表将该信号上传到计算机的组态中,由组态直接换算成高度值,在计算机窗口中显示。因此,单容液位被控对象的传递函数,是包含了由执行结构到检测装置的所有液位单回路物理关系模型有上述机理建模可知,单容液位过程是带有时滞性的一阶惯性环节,电动调节阀的开度op,近似看成与流量Q1成正比,当电动调节阀的开度op为一常量作为阶跃信号时,该单容液位过程的阶跃响应为 需要说明的是表达式(2-3)是初始量为零的情况,如果是在一个稳定的过程下进行的阶跃响应,即输入量是在原来的基础上叠加上op的变化,则输出表达式是对应原来输出值得基础上的增

过程控制实验报告8

实验报告 课程名称:过程控制 实验名称:单回路控制系统的参数整定专业:自动化专业 姓名: 学号: 2013 /2014 学年第 2 学期

实验一单回路控制系统的参数整定 2014年4月28日 一、实验要求 1、了解调节器特性的实验测试方法; 2、掌握依据飞升特性曲线求取对象动态特性参数和调节器参数的方法; 3、熟悉单回路控制系统的工程整定方法。 二、实验内容 测得某工业过程的单位阶跃响应数据,如附表所示;单位阶跃响应曲线,如图1所示: 0.2 0.4 0.6 0.8 1 1.2 t/s y ( t ) 0.2 0.4 0.6 0.8 1 1.2 t/s y ( t ) 图1 单位阶跃响应曲线 1、试用高阶传递函数描述该过程的动态特性; G(s)=K/(Ts+1) 2=1.25/(25.9s+1) 2*e^-10s 2、在Simulink中搭建解算出的被控对象单回路控制系统; 3、采用稳定边界法整定调节器参数,并给出P、PI、PID三种调节器的控制曲线; Kp=5,Pm=1/Kp=0.2时,等幅振荡,Tm80。

P: 2Pm=0.4 PI: 2.2Pm=0.44 0.85Tm=68 PID: 1.7Pm=0.34 0.5Tm=40 0.125Tm=10 三种调节器的控制曲线:

4、比较、分析实验结果 P调节器稳态产生了静差;PI调节器相对P调节器稳态无静差,但是调节时间延长;PID 调节器相对前两者无论上升时间还是调节时间都变短了,稳态也无静差。

实验报告 课程名称:过程控制 实验名称:串级控制系统专业:自动化专业 姓名: 学号: 2013 /2014 学年第 2 学期

过程控制控实验报告

实验一 单容自衡水箱特性的测试 一、实验目的 1. a 根据实验得到的液位阶跃响应曲线,用相应的方法确定被测对象的特征参数K 、T 和传递函数。 二、实验设备 1. A3000高级过程控制实验系统 2. 计算机及相关软件 三、实验原理 由图2.1可知,对象的被控制量为水箱的液位h ,控制量(输入量)是流入水箱中的流量Q 1,Q 2为流出水箱的流量。手动阀QV105和闸板QV116的开度(5~10毫米)都为定值。根据物料平衡关系,在平衡状态时: 0Q Q 2010=- (1) 动态时则有: dt dV Q Q 21=- (2) 式中V 为水箱的贮水容积,dt dV 为水贮存量的变化率,它与h 的关系为Adh dV =,即: dt dh A dt dV = (3) A 为水箱的底面积。把式(3)代入式(2)得: QV116 V104 V103 h ?h QV105 QV102 P102 LT103 LICA 103 FV101 M Q 1 Q 2 图2.1单容水箱特性测试结构图

图2.2 单容水箱的单调上升指数曲线 dt dh A =-21Q Q (4) 基于S 2R h Q =,R S 为闸板QV116的液阻,则上式可改写为dt dh A R h Q S =-1,即: 或写作: 1 )()(1+=TS K s Q s H (5) 式中T=AR S ,它与水箱的底积A 和V 2的R S 有关;K=R S 。式(5)就是单容水箱的传递函数。 若令S R s Q 01)(=,R 0=常数,则式(5)可改为: T S KR S R K S R T S T K s H 0011/)(0+-=?+= 对上式取拉氏反变换得: )e -(1KR h(t)t/T 0-= (6) 当∞→t 时0KR )h(=∞,因而有=∞=0R )h(K 阶跃输入 输出稳态值。当t=T 时,则)h(KR )e -(1KR h(T) 001∞===-0.6320.632。式(6)表示一阶惯性环节的响应曲线是一单调上升的指数函数,如图2.2所示。 当由实验求得图2.2所示的阶跃响应曲线后,该曲线上升到稳态值的63%所对应的时间,就是水箱的时间常数T 。该时间常数T 也可以通过坐标原点对响应曲线作切线,切线与稳态值交点所对应的时间就是时间常数T ,由响应曲线求得K 和T 后,就能求得单容水箱的传递函数。 1KQ h dt dh AR S =+

计算机组成原理实验报告 算术逻辑运算单元实验

西华大学数学与计算机学院实验报告 课程名称:计算机组成原理年级:2011级实验成绩: 指导教师:祝昌宇姓名:蒋俊 实验名称:算术逻辑运算单元实验学号:312011*********实验日期:2013-12-15 一、目的 1. 掌握简单运算器的数据传输方式 2. 掌握74LS181的功能和应用 二、实验原理 (1)ALU单元实验构成 1、结构试验箱上的算术逻辑运算单元上的运算器是由运算器由2片74LS181构成8字长的ALU 单元。 2、2片74LS373作为2个数据锁存器(DR1、DR2),8芯插座ALU-OUT作为数据输入端,可通过短8芯扁平电缆,把数据输入端连接到数据总线上。 3、运算器的数据输出由一片74LS244(输出缓冲器)来控制,8芯插座ALU-OUT作为数据输出端,可通过短8芯扁平电缆把数据输出端连接到数据总线上。 (2)ALU单元的工作原理 数据输入锁存器DR1的EDR1为低电平,并且D1CK有上升沿时,把来自数据总线上的数据打入锁存器DR1。同样,使EDR2为低电平,并且D2CK有上升沿时,把来自数据总线上的数据打入锁存器DR2。 算术逻辑运算单元的核心是由2片74LS181构成,它可以进行2个8位二进制数的算术逻辑运算,74LS181的各种工作方式可通过设置其控制信号来实现(S0、S1、S2、S3、M、CN)。当实验者正确设置了74LS181的各个控制信号,74LS181会运算数据锁存器DR1、DR2内的数据。由于DR1、DR2已经把数据锁存,只要74LS181的控制信号不变,那么74LS181的输出数据也不会发生改变。 输出缓冲器采用74LS244,当控制信号ALU-O为低电平时,74LS244导通,把74LS181的运算结果输出到数据总线;ALU-O为高电平时,74LS244的输出为高阻。

过程控制实验报告

过程控制实验实验报告 班级:自动化1202 :益伟 学号:120900321

2015年10月 信息科学与技术学院 实验一 过程控制系统建模 作业题目一: 常见的工业过程动态特性的类型有哪几种?通常的模型都有哪些?在Simulink 中建立相应模型,并求单位阶跃响应曲线。 答:常见的工业过程动态特性的类型有:无自平衡能力的单容对象特性、有自平衡能力的单容对象特性、有相互影响的多容对象的动态特性、无相互影响的多容对象的动态特性等。通常的模型有一阶惯性模型,二阶模型等。 单容过程模型 1、无自衡单容过程的阶跃响应实例 已知两个无自衡单容过程的模型分别为s s G 5.01)(=和s e s s G 55.01)(-=,试在Simulink 中 建立模型,并求单位阶跃响应曲线。 Simulink 中建立模型如图所示: 得到的单位阶跃响应曲线如图所示: 2、自衡单容过程的阶跃响应实例 已知两个自衡单容过程的模型分别为122)(+=s s G 和s e s s G 51 22 )(-+= ,试在Simulink 中建立模型,并求单位阶跃响应曲线。 Simulink 中建立模型如图所示: 得到的单位阶跃响应曲线如图所示:

多容过程模型 3、有相互影响的多容过程的阶跃响应实例 已知有相互影响的多容过程的模型为1 21 ) (2 2++= Ts s T s G ξ,当参数1=T , 2.1 ,1 ,3.0 ,0=ξ时,试在Simulink 中建立模型,并求单位阶跃响应曲线 在Simulink 中建立模型如图所示: 得到的单位阶跃响应曲线如图所示: 4、无相互影响的多容过程的阶跃响应实例 已知两个无相互影响的多容过程的模型为) 1)(12(1 ) (++= s s s G (多容有自衡能力的对象)和 ) 12(1 )(+= s s s G (多容无自衡能力的对象),试在Simulink 中建立模型,并求单位阶跃响应曲线。 在Simulink 中建立模型如图所示: 得到的单位阶跃响应曲线如图所示:

杭电计组实验3-多功能ALU设计实验

杭电计组实验3-多功能ALU设计实验杭州电子科技大学计算机学院 实验报告 实验项目: 课程名称:计算机组成原理与系统结构课程设计 姓名: 学号: 同组姓名: 学号: 实验位置(机号): 实验日期: 指导教师: 一、实验目的 (1)学习多功能ALU的工作原理,掌握运算器的设计方法。 (2)掌握运用Verilog HDL进行数据流描述与建模的技巧和方法,掌握运算器的设计方 法。 二、实验仪器 实验 ISE工具软件 内容三、步骤、方法 (算(1)启动Xilinx ISE软件,选择File->New Project,输入工程名 shiyan2,默认选择后,点法、击Next按钮,确认工程信息后点击Finish按钮,创建一个完整的工程。程(2)在工程管理区的任意位置右击,选择New Source命令。弹出New Source Wizard对序、话框,选择Verilog Module,并输入Verilog 文件名shiyan3,点击Next按钮进入下一步,点步骤击Finish完成创建。 和方(3)编辑程序源代码,然后编译,综合;选择Synthesize--XST项中的Check Syntax右击法) 选择Run命令,并查看RTL视图;如果编译出错,则需要修改程序代码,直至正确。

(4)在工程管理区将View类型设置成Simulation,在任意位置右击,选择New Source命 令,选择Verilog Test Fixture选项,输入实验名shiyan3_test。点击Next,点击Finish,完成。 编写激励代码,观察仿真波形,如果验证逻辑有误,则修改代码,重新编译,仿真,直至 正确。 (5)由于实验三并未链接实验板,所以后面的链接实验板的步骤此处没有。 一,操作过程 实验过程和描述: module shiyan3(ALU_OP,AB_SW,OF,ZF,F); reg [31:0]A,B; input [2:0]ALU_OP; input [2:0]AB_SW; 操作 wire OF; 过程 reg ZF; 及结reg [31:0]F; 果 output OF; output ZF; output F; reg C32; always@(*) begin case(AB_SW)

过程控制实验报告.doc

实验报告 专业:自动化姓名: 学号:

实验一、计算机控制系统实验 一、实验目的 1、了解计算机控制系统的基本构成。 2、掌握本装置计算机实时监控软件的使用 3、熟悉计算机控制算法。 4、掌握计算机控制的参数整定方法。 二、实验设备 1、THKGK-1过程控制实验装置: GK-02 GK-03 GK-07 2、计算机及上位机监控软件 三、实验原理 与常规仪表控制系统相比,计算机控制系统的最大区别就是用微型机和A/D、D/A转换卡来代替常规的调节器。基本构成框图如下: 计算机根据测量值与设定值的偏差,按程序设定的算法进行运算,并将结果经D/A转换器输出。控制算法有位置式,增量式和速度式。为了使采样时间间隔内,输出保持在相应的数值,在D/A卡上设有零阶保持器。 四、实验步骤 (一)、监控软件的使用及安装说明: 1、计算机硬件要求: CPU:486以上。 内存:32MB或更多。 硬盘:1GB。 操作系统:Windows98/2000/XP。 显示器:1024×768。 串行口:COM1 2、软件安装安装过程已经在上位机光盘里面。 (二)、登录后选择PID算法对上水箱液位进行控制 1、将计算机与单片机控制屏结合使用,对上水箱液位进行直接数字DDC控制实验。系统连接图自拟。(单片机控制屏仅起A/D、D/A转换的作用) 2、设置适当的作图时间间隔和给定值,调整PID参数K、、Ti、Td、直到得到较好的过程控制实时曲线。 3、对不同PID参数下的实时控制曲线进行比较,分析各参数变化对控制质量的影响。 4、自行选择其他控制算法进行实验,了解不同算法的控制质量。

五、实验小结 1、将上述实验结果整理好,写出参数整定的具体步骤及整定数值,整理出系统的结构图。 Kp=2 Ki=6 K=5 阀门开度为60% 2、简述PID参数对系统性能的影响。 PID调节器分别对应比例、积分和微分作用 1、比例参数KP的作用是加快系统的响应速度,提高系统的调节精度。随着KP的增大系统的响应速度越快,系统的调节精度越高,但是系统易产生超调,系统的稳定性变差,甚至会导致系统不稳定。KP取值过小,调节精度降低,响应速度变慢,调节时间加长,使系统的动静态性能变坏。 2、积分作用参数Ti的一个最主要作用是消除系统的稳态误差。Ti越大系统的稳态误差消除的越快,但Ti也不能过大,否则在响应过程的初期会产生积分饱和现象。若Ti过小,系统的稳态误差将难以消除,影响系统的调节精度。另外在控制系统的前向通道中只要有积分环节总能做到稳态无静差。从相位的角度来看一个积分环节就有900 的相位延迟,也许会破坏系统的稳定性。 3、微分作用参数Td的作用是改善系统的动态性能,其主要作用是在响应过程中抑制偏差向任何方向的变化,对偏差变化进行提前预报。但Ti不能过大,否则会使响应过程提前制动,延长调节时间,并且会降低系统的抗干扰性能。

相关主题
文本预览
相关文档 最新文档