当前位置:文档之家› 毕业设计论文基于FPGA技术的数字存储示波器设计

毕业设计论文基于FPGA技术的数字存储示波器设计

毕业设计论文基于FPGA技术的数字存储示波器设计
毕业设计论文基于FPGA技术的数字存储示波器设计

毕业设计(毕业论文)

系别:电子与电气工程学院

专业:电子信息工程技术

班级:

学生姓名:

学生学号:

设计(论文)题目:基于FPGA技术的数字存储示波器设计指导教师:

设计地点:

起迄日期:

毕业设计(论文)任务书

专业电子信息班级姓名

一、课题名称:基于FPGA技术的数字存储示波器设计

二、主要技术指标:

(1)带宽:100MHz (2)垂直灵敏度:10mv—5v/div (3)水平灵敏度:2.5ns—5s/div (4)输入阻抗:1MΩ

(5)存储深度:4KB (6)显示:LED

(7)通道:单通道等

三、工作内容和要求:本设计的数据采集采用高速模/数转换器ADl674(A/D),直接用FPGA准确定时

控制ADC的采样速率,实现整个频段的全速采样。数据的存储采用双口RAM(UT62-256)存储采样量化后

的波形数据,同样用FPGA控制RAM的地址线。整个系统采用单通道的方式,信号进来首先经过前端的调

理电路把信号电压调整到AD的输入电压范围之内,这里调节电路主要是由信号衰减电路和信号放大电

路所组成。调节后的信号再送到AD变换电路里面完成信号的数字化。然后把AD转换后的数据送到FPGA

中,并把数据保存到FPGA中的FIFO中,FPGA中的电路主要包括有FIFO、触发系统、峰值检测、时基电

路等。

四、主要参考文献:

[1]杨刚、龙海燕.现代电子技术一VHDL与数字系统设计[M].北京:电子工业出版社.2004.

[2]侯伯亨、顾新.VHDL硬件描述语言与数字逻辑电路设计[M].西安:两安电子科技人学.1999.

[3]潘松下、国栋帅.L实用教程[M].成都:成都电子科技大学出版社.2000.

[4]潘松下、黄继业.EDA技术实用教程[M]北京:科学出版社.2002.

[5]王振红.VHDL数字电路设计与应用实践教程[M].北京机械工业出版社.2003.

学生(签名)2010年5月7日

指导教师(签名)2010年5月10日

教研室主任(签名)2010年5月10日

系主任(签名)2010年5月12日

毕业设计(论文)开题报告

目录

摘要

Abstract

第1章前言 (1)

1.1 数字存储示波器的发展概况 (1)

1.2 本文所做的研究工作 (1)

第2章示波器的工作原理 (3)

2.1 模拟示波器的基本工作原理 (3)

2.2 数字存储示波器的工作原理 (4)

第3章 D S P处理器和F P G A的开发过程简介 (5)

3.1 DSP处理器的开发过程和应用 (5)

3.2 FPGA的开发过程与应用 (6)

第4章整体设计方案 (8)

4.1 系统整体设计流程图 (8)

4.2 整个系统的性能指标 (9)

4.3 系统的实现方案 (9)

4.4 元器件的选择 (11)

第5章整个系统硬件设计 (12)

5.1 前端数据采集部分硬件电路设计 (12)

5.2 FPGA外围电路的设计和内部逻辑电路设计 (17)

5.3 DSP部分的硬件设计 (24)

第6章系统软件设计 (29)

6.1系统初始化 (29)

6.2数据处理的相关算法 (33)

6.3波形显示程序 (35)

第7章结束语 (37)

答谢辞

参考文献

摘要

数字存储示波器在仪器仪表领域中占有重要的地位,应用范围相当广泛,所以对示波器的研制有重要的理论和实际意义。本文针对数字存储示波器的设计进行了深入的研究,旨在研制出100MHz带宽的数字存储示波器。

从各个方面考虑,选用了DSP、FPGA和单片机的方案来设计整个系统。整个系统采用单通道的方式。信号进来首先经过前端的调节电路把信号电压调整到AD 的输入电压范围之内,这里调节电路主要是由信号衰减电路和信号放大电路所组成。调节后的信号再送到AD变换电路里面完成信号的数字化。然后把AD转换后的数据送到FPGA中,并把数据保存到FPGA中的FIFO中,FPGA中的电路主要包括有FIFO、触发系统、峰值检测、时基电路等。

由于本文采用FPGA,使得数字存储示波器的设计比较灵活,容易升级。可以根据自己的需要进行相关的改进,例如对外围电路做进一步地扩展。

关键词:DSP;FPGA;LCD;单片机;数字存储示波器

常州信息职业技术学院电子与电气工程学院毕业设计论文

ABSTRACT

Digital storage oscilloscopes play an important role in the field of instrumentation,it has a wide range of applications,the development of the oscilloscope has a very important theoretical and practical significance.In this paper, we have do a lot of work to the design of digltal storage oscilloscope.The goal is aimed at the development of the repeat 100MHz bandwidth digital storage oscilloscope.

Considereing from various aspects,we select DSP,FPGA and microcontroller to design the whole system.The whole system is single channel.The signa that come in from the first front-end have been changed a fit voltage which put into a voltage signal AD.Front-end circmts here mainly are composed of by signal attenuation and signal amplifier circuit.After the front-end,the signals have changes the digital signal the by AD circuit.This data has been sent to FPGA,the data is saved to the FIFO in the FPGA.The main circuit in the FPGA,including FIFO,the trigger system,the peak detection circuit,time-ased circuit,and so on.

At the same time,the use of FPGA makes the design more flexible,and easier to upgrade,for example,it is possible to expand extemal circuit of oscilloscopes.KEY WORDS:DSP,FPGA,LCD ,microcontroller,digital storage oscilloscope

第1章前言

示波器应用非常广泛,包括工业、军事、科研、教育领域都有很大的应用。本章主要对示波器的国内外发展现状和本文所做的研究工作做简要的介绍。

1.1数字存储示波器的发展概况

以前的数字示波器的屏幕更新速率慢,无实时采集能力。九十年代之后,示波器技术得到了飞速发展。比如HP公司推出的54600B系列数字示波器克服这个更新速率慢的问题。这样,输入信号变化,立即就可以看到显示的变化。例如Tektronix公司的TDS684A型4通道1GHz的数字示波器采用了获专利的数字实时取样技术,并增加了转换率触发和建立与保持触发功能。更新速度也更快。同时泰克公司宣布的DP04000数字荧光示波器,该系列示波器系列拥有350MHz--1GHz的带宽,率先提供了突破性的Wave Inspector技术。在2005年下半年推出几种新型数字存储示波器,其中600MHz和1GHz两种带宽的示波器采用了安捷伦最新一代MegaZoom专利技术,具有最深的存储器和最多的集成通道数以及业内领先的波形观察能力。目前一些国内厂商开始进军手持数字示波器这一高端领域。虽然,从市场需要来看,20MHz带宽的数字存储示波器产品在市场中占有很大的比例。一般20MHz的带宽可以满足很多人的需求。面对这样的行业需求,所以国内示波器生产企业把产品性能设定在20MHz带宽、100MSa/s采样率。采用双通道数据采集,一般是单色LCD显示。尽管我国国产示波器处于起步阶段。但是我国手持数字存储示波器的生产企业在其产品的研发过程中,除了有自己的独立研发中心外,同时也与国内高校进行资源整合,例如电子科技大学就通过与企业合作进行示波器的研发。通过与高校实验室的技术与科研的交流与合作,加强研发团队的科研水平,进一步提高产品的竞争力。

1.2本文所做的研究工作

DSP是16位的RISC处理器,高性能、低功耗是其显著特点。并被广泛应用于各种嵌入式领域。比如在雷达信号处理,数字图像处理方面等等。FPGA是复杂可编程逻辑器件,它具有速度快、稳定性高、设计灵活和价格低廉等许多优点。DSP和FPGA都是现在非常流行的,其性价比也是非常的高。也是两款技术非常成熟的芯片。本文所做的研究工作就是利用这两款芯片进行数字存储示波器的研究和设计。本文进行了底层硬件平台的研究设计、少量的软件驱动程序的设计和示波器的常用算法的研究工作。例如,根据设计便携式数字存储示波器的实际需要,采用了DSP+FPGA+单片机的设计方案;研究了高频电路的设计方法,独立完成了整个系统的硬件电路设计,并对其中的某些功能模块进行了调试,给出了部分调试报告;研究了FFT、滤波、插值算法。

本文的目的是采用FPGA+DSP+单片机来设计一个100M(重复带宽)的数字示波器。本设计中DC/100MHz的被测信号经过前端电路把信号调整到AD输入电

压的范围之内,经过AD转换变成数字信号,送到DSP中进行相关处理,之后通过DSP多缓冲串口送到单片机中,再由单片机把要显示的数据显示到LCD中的这么一个过程,去实现信号波形的检测。

本文已经完成了示波器硬件平台的搭建,对前端模拟电路的某些部分做了一下改进,触发电路部分抛弃了传统的模拟触发方式,采用了全数字化的触发方式。同时由于本文采用FPGA,使得数字存储示波器的设计较为灵活,容易升级,可以根据用户的需要实现电路的升级。在软件方面,由于示波器的软件量是非常庞大的。所以要想在很短的时间来完成它也是不可能的。本文只是完成了硬件平台的部分驱动程序。同时对示波器所要使用到的相关算法进行了相关的研究。

本课题是一个庞大的系统,其实践性很强,涉及知识非常多,受限于时间和个人的知识水平,尚存在以下不足之处需要以后加强。不足之处有:系统整体性能还有待进一步提高。包括示波器的带宽、存储深度,尤其是存储深度有待进一步提高,同时DSP的存储器容量也有待提高。整个系统LCD的更新速度有点慢,需要不断改进提高这个系统显示的更新速度。

第2章示波器的工作原理

2.1示波器的工作原理

了解示波器的工作原理是设计好示波器的第一步。示波器可以分为模拟示波器、数字存储示波器二类。下面对这两种示波器的工作原理作简要的介绍。

2.1.1模拟示波器的基本工作原理

模拟示波器工作方式是直接测量信号电压,并通过从左到右穿过示波器屏幕的电子束在垂直方向描绘电压。示波器屏幕通常是阴极射线管(CRT)。电子束投到荧幕的某处,屏幕后面总会有明亮的荧光物质。当电子束水平扫过显示器时,信号的电压是电子束发生上下偏转,跟踪波形直接反映到屏幕上。在屏幕同一位置电子束投射的频度越大,显示得也越亮。设置垂直标度(对伏特/ 格进行控制)后,衰减器能够减小信号的电压,而放大器可以增加信号电压。随后,信号直接到达CRT的垂直偏转板。电压作用于这些垂直偏转板,引起亮点在屏幕中移动。信号也经过触发系统,启动或触发水平扫描。水平扫描是水平系统亮点在屏幕中移动的行为。触发水平系统后,亮点以水平时基为基准,依照特定的时间间隔从左到右移动。许多快速移动的亮点融合到一起,形成实心的线条。

图2-1给出了模拟示波器的体系结构图。

图2-1模拟示波器体系结构图

2.2数字(存储)示波器的工作原理

数字存储示波器不是将波形存储在示波管内的存储栅网上, 而是存在存储

器中, 因而存储时间可以无限长。数字存储示波器主要利用A/D转换技术和数字存储技术来工作, 它能迅速捕捉瞬变信号并长期保存。该示波器首先对模拟信号进行高速采样以获得相应的数字数据并存储, 存储器中储存的数据用来在示波器的屏幕上重建信号波形; 然后利用数字信号处理技术对采样得到的数字信号进行相关处理与运算, 从而获得所需要的各种信号参数; 最后, 该示波器根据得到的信号参数绘制信号波形, 并对被测信号进行实时、瞬态分析, 以方便用户了解信号质量, 快速准确地进行故障诊断。数字存储示波器将输入模拟信号经过AD/转换, 变成数字信号, 储存在半导体存储器RAM中, 需要时将RAM中存储的内容读出显示在LCD, 或通过DA/转换, 将数字信号变换成模拟波形显示在示波管上。数字存储示波器可以采用实时采样, 每隔一个采样周期采样一次, 可以观察非周期信号川。数字示波器的采样方式包括实时采样和等效采样(非实时采样)。等效采样又可以分为随机采样和顺序采样, 等效采样方式大多用于测量周期信号。图2-2表数字示波器工作原理框图。

图2-2数字示波器工作原理框图

第3章DSP处理器和FPGA的开发过程简介

DSP在数字图像处理,音频信号处理等方面有着非常广泛的应用。它以其专门的硬件乘法器,特殊的信号处理指令使得它高速的运算速度比最快的CPU还快上好几十倍。FPGA是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA 的使用非常灵活,同一片FPGA通过不同的编程数据可以产生不同的电路功能。FPGA在通信、数据处理、网络、仪器、工业控制、军事和航空航天等众多领域得到了广泛应用。本章主要是针对DSP、FPGA的发展情况和开发流出作简要的介绍。

3.1 DSP处理器的开发过程和应用

随着DSP应用范围的扩大、处理能力的加强以及DSP更新速度的加快,DSP处理系统越来越复杂,对设计者来说难度也越来越大,为此有的厂家已产生出一定标准,依据标准来设计生产电路板的DSP处理模块,同时为这种标准模块提供丰富的软件开发系统和算法库。其中典型的如TMS320C4X和SDSP2106X,它们可以通过通信口和全局总线插座,将若干个模块安装在母板上,方便地组成多处理器系统。这种模块化设计降低了硬件设计难度,减少了硬件设计时间,有利于更高效的开发DSP系统。

目前各DSP芯片生产厂家已经把以上列出的各开发工具集成在一起,构成集成开发环境。例如TI公司的CCS IDE(Code Composer Studio Integrated Development Environment)可以提供环境配置、源程序编辑、编译连接、程序调试、跟踪分析等各个环节,以加速软件开发进程,提高工作效率。它把编译、汇编、链接等工具集成在一起,用一条命令即可完成全部的汇编工作。另外把软、硬件开发工具集成在其中,使程序的编写、汇编、程序的软/硬件仿真和调试等开发工作在统一的环境中进行,给开发工作带来极大的方便。

3.1.1 DSP处理器发展历程以及发展现状

DSP发展历程大致分为三个阶段:70年代理论先行,大概在70年具备了完整的DSP的理论和算法基础。80年代DSP产品开始普及,随着电子技术的高速发展,1982年世界上诞生了第一块DSP芯片,很快DSP在语音合成和编码解码器中得到广泛应用。再加上CMOS工艺的诞生,使得DSP芯片的集成度性能都得到空前的提高,其存储容量和运算速度也成倍提高,其应用范围逐步扩大到通信、计算机领域。90年代突飞猛进。90年代相继出现了第四代和第五代DSP器件。将DSP芯核及外围组件综合集成在单一芯片上。同时DSP的价格也大幅度下降,使得DSP芯片不仅在高端的通信、计算机领域大显身手,而且在低端的家用电器等方面DSP也是应用越来越广泛。

3.1.2 DSP处理器的具体开发流程

对于DSP开发来说,可以根据不同情况来决定是否要选择操作系统。操作系统的使用可以在一定程度上缩短开发周期,但是操作系统对于实时性很高的场合来说就不一定合适。而没有操作系统的开发方式相对来说可能比较复杂一些,需要用户对DSP的硬件架构,对DSP的外围电路的驱动等非常熟悉。开发过程的时候,所有程序都是从硬件调试到初始化程序和应用程序都在CCS中进行开发。需要操作系统的开发方式相对来说简单一些,但是如果加入了操作系统之后,由于操作系统可以屏蔽到硬件的相关细节,用户即使不了解硬件的相关细节也可以进行开发,使得用户可以把精力专门集中在应用程序的开发上来。同时可以缩短开发周期。DSP开发工具主要包括有:C语言编译器(C Compiler)、汇编语言工具、汇编器(Assembler)、连接器(Linker)、归档器(Archive)、交叉引用歹愫(Cross Reference Lister)。如果是C语言程序,首先是C语言编译器将程序编译成汇编语言源程序,然后送到汇编器里面进行汇编,汇编后产生COEF格式的目标代码,再用连接器进行连接,生成DSP上可以执行的COEF格式的目标代码。然后就可以利用调试器对代码进行调试。调试正确之后就可以把代码写入到Flash里面了。

开发流程图3-1所示:

图3-1 DSP的开发流程

3.2 FPGA的开发过程与应用

随着现场可编程逻辑器件越来越高的集成度,加上不断出现的I/O标准、嵌入功能、高级时钟管理的支持,使得现场可编程逻辑器越来越广泛。

3.2.1 FPGA发展历程及现状

从Xilinx公司推出了世界上第一片FPGA(现场可编程逻辑芯片),FPGA已经

历几十年的发展。从最初的一千多可利用门,发展到90年代的几十万个可利用门,到十一世纪又陆续推出了几千万门的单片FPGA芯片。FPGA使用灵活,适用性强,特别适用于复杂逻辑的设计,有利用电子系统小型化,而且其开发周期短、开发投入少、芯片价格不断降低,促使FPGA越来越多地取代了ASIC的市场。

3.2.2 FPGA开发流程

FPGA开发流程可以分为如下几步:

①设计输入,设计输入主要包括原理图输入、状态图输入、波形图输入以及某种硬件描述语言,比如说是VHDL、Verilog的源程序。它是利用这些输入去描述一个电路的功能。

②功能仿真,功能仿真就是利用相关仿真工具对相关电路进行功能级别仿真,也就是说对你的输入设计的逻辑功能进行相关的模拟测试。在功能上面来了解电路是否能够达到预期要求。这里的功能仿真纯粹是模拟性质的,不会设计的任何具体器件的硬件特性。

③综合,综合就是行为或者功能层次表达的电子系统转换成低层次门级电路的网表。

④布局布线,就是将综合后的网表文件针对某一个具体的目标器件进行逻辑映射。此时应该使用FPGA厂商提供的实现与布局布线工具,根据所选芯片的型号,进行芯片内部功能单元的实际连接与映射。

⑤时序验证,就是要使得时序仿真过程中,建立与保持时间要符合相关的制约,以便数据能被正确的传输。使仿真既包含门延时,又包含线延时信息。能较好地反映芯片的实际工作情况。

⑥生成SOF等文件,此文件可以通过调试器把它下载到系统中间去。而FPGA 设计流程的其他步骤基本上由相关工具去完成,因此只要自己设置好相关参数,不要人为干预太多。而验证的话就需要用户花费大量的时间去完成。

第4章整体设计方案

在数字存储示波器的设计中主要分为两大部分:硬件设计和软件设计。本章主要介绍示波器系统整体的设计流程,系统整体性能参数以及最终方案的确定。并对所选的方案做了详细介绍,根据此方案确定了元器件的选择。

4.1系统整体设计流程图

开始设计一个系统的时候,第一步是撰写整个系统的方案。对整个系统如何实现应该有个详细的了解。方案确定之后,就要设计这个系统的具体性能指标。再然后根据这个系统的性能指标选择相关的元器件。这之后,就可以进行软硬件设计了。一般硬件和软件开发可以同时进行。这样在完成系统的软件和硬件之后。分别对软件和硬件进行调试。分别调试完成之后,就进行系统的集成。之后再进行整个系统的测试工作。

图4-1给出了系统的整体设计流程。

图4-1 系统的整体设计流程

4.2整个系统的性能指标

考虑到同类国产的示波器的性能指标,以及在具体电路中整个系统的实现难9易程度。故将设计目标定位于带宽在100M左右的数字存储示波器。并从成本等方面考虑,整个示波器系统只做了一个通道。采用的芯片也是尽量采用比较容易在市场上买到的和相对便宜的。同时由于时间等原因,本文只完成了整个系统的硬件设计和部分驱动程序的编写。并且对硬件电路进行了调试。调试的电路结果基本上达到了当初所想要达到的指标。但整个系统集成起来的调试工作还没有进行。

便携式数字存储示波器期望达到的具体设计参数如下:

①带宽:100MHZ(重复带宽)

②通道:单通道

③采样率:100MSPS(实时采样);

④垂直分辨率:8位

⑤垂直灵敏度:10mv-5v/div

⑥水平灵敏度:2.5ns-5S/div

⑦输入阻抗:1MΩ

⑧工作模式:自动,单次,常规

⑨存储深度:4KB

⑩显示:LCD(黑白;整个屏幕192x64点阵;对比度可调)

4.3系统的实现方案

数字存储示波器的设计方法一般是:信号通过调理电路之后,送到AD转换器将被测信号数字化,并将数据存入到存储器中,在信号出现触发脉冲之后,就可以开始显示数据。然后处理器从存储器中读出数据,直接以数字信号(显示器为液晶的时候)的形式,送到相应的显示器中进行显示波形。

方案:采用DSP+FPGA+单片机来实现整个系统。本设计就采用这个架构。这个结构既继承了采用DSP和FPGA的优点,同时也克服了因为LCD和键盘处理电路的速度慢而导致浪费DSP的时间资源的这个缺点。在本方案中,把LCD和键盘处理电路全部交给单片机进行管理。在这里DSP把数据通过多缓冲串口发送给单片机,然后由单片机把从DSP中接收到的数据送到LCD中去显示。同时单片机也可以读取键盘中的数据,通过串口发送给DSP芯片,进而去控制相关的电路。

系统的整体设计框图如图4-2所示。

图4-2 系统的整体设计框图

4.3.1实现方案的介绍

从图4.2中可以看出,整个硬件平台和其他的数字存储示波器一样也是采用模块化设计的方式,整个系统基本上是由三大部分模块组成:它们分别为数据采集部分、数据处理部分和数据显示部分。数据采集部分完全由FPGA来进行控制,DSP只负责数据的后期处理,系统其他功能由单片机来实现。

FPGA要控制前端数据通道,对采集到的数据缓存,而且还要使数据缓存单元和DSP处理器进行通信,这在整个系统中具有重要的地位。同时又由于FPGA 的可编程性,使得前端采集电路的设计非常灵活,调试起来也非常方便。DSP主要负责把采集的数据进行处理。比如像滤波、傅立叶变换等,同时负责波形重建,波形重建这里主要会采用内插算法来重建波形。而后端的单片机主要负责系统的人机接口和数据显示。整个系统的工作流程是这样的:由上图也可以看出,要测量的波形经过衰减或者放大电路之后分为二路:一路送整形电路整形之后产生矩形波信号,然后利用FPGA的测频电路测量波形的频率;另外一路送A/D转换器进行AD转换。AD的采样率使它恒定为1OOM/S。转换后的数字信号要先送到FIFO存储器中暂存,FIFO的存储是靠FIFO的写时钟来实现,而FIFO的写时钟是由FPGA中的分频电路产生的。这样示波器就能根据用户键盘中设置的相关参数选用想要的读写时钟。FIFO就利用FPGA中的RAM资源。比如这里选用的FPGA 里面就有5K的RAM资源供用户选择。这样数据采集进来就可以直接存储在FPGA 中,这样做就不需要专门的FIFO芯片,同时直接在FPGA中定制FIFO存储器,可以提高整个系统的性能,使得整个系统的速度更快。随着写时钟的到来,FFIO 存满之后,DSP处理器就从FIFO中读取数据来进行处理,处理之后就由DSP送到单片机中进行显示。图中FLASH模块的是程序存储器,即整个系统的软件都固化在FLASH中。RAM模块是数据存储器,整个系统运行的时候,首先DSP利用已经固化在ROM中的BOOT程序把FLASH中的程序搬到RAM中运行。这里键盘和LCD模块是用来进行输入控制和输出显示。在调试的时候同时我们也设计了两

个JATG接口,分别用来对FPGA和DSP进行调试。

4.4元器件的选择

的选择也是非常重要的一环,如果选择的不好,就会严重影响进度。在这里你选择的元件的时候要根据自器件的选择的总的指导原则是性价比高、市场上容易买到。其实系统元器件己定制的系统性能指标选择能够满足要求的元件。本系统所选择的元件如表4-3所示。

表4-3 所选元件及功能介绍

第5章整个系统硬件设计

整个系统的关键电路其实还是在前端通道、模数转换这两块前端电路的设计,这主要是因为对于一个电路来说,如果信号频率达到100M的话,要考虑的因素就会很多,比如如何去减小电路中数字电路对模拟电路的信号的影响,因为模拟电路它是非常敏感的,一点点干扰就可能会使得被测的信号出现失真,同时对于高频电路来说,阻抗匹配等因素也是会影响到整个电路的性能。这样对于前端调理电路来说,就会碰到动态范围、宽频的挑战。

图5-1为硬件平台的总体框图,从图5-1可以看出,整个硬件平台主要包括有四个部分模块,分别为:前端数据采集部分硬件电路设计;FPGA内部控制逻辑和外围电路;数据处理部分的硬件设计;平台调试接口;电源、晶振及复位电路模块。

然后按照被测信号的走向依次对图中的每个部分中的每一个硬件模块进行介绍,主要介绍各模块的功能、工作原理、实现方法,以及具体实现的电路图。

图5-1 硬件平台的总体框图

5.1前端数据采集部分硬件电路设计

这部分的电路主要有信号衰减、放大电路、信号整形电路、AD转换电路以及这些电路与FPGA的接口电路。下面分别来进行介绍。

5.1.1信号的衰减电路

被测信号从前端输入进来,为了满足AD转换的电气性能首先必须把信号调节到一个合适的范围之内。通常情况下,如果输入进来的被测信号的电压范围超过AD转换的电压范围时,就要对信号衰减,这种衰减电路我们必须考虑输入信号的频率高低。由于在衰减过程中,频率范围很宽的时候很容易出现畸变,所以通常做衰减网络的时候采用的是无源电阻、电容网络。这种无源阻容网络由于信号的频率特性,比如说在低频的时候就直接表现为电阻分压比,在高频的时候就为电抗的分压得到信号的衰减。其实这种衰减本质上是为一个平衡电桥。比如在我们的示波器探头中就可能存在一个可调电容,通过调节它使得我们的电桥达到一种最佳状态。这样衰减就可以变得和频率没有关系。使得信号衰减可以在一个大的频带范围内实现信号衰减。图5-2是一个典型的信号衰减电路。

图5-2 信号衰减电路

本系统所设计的电压衰减网络主要是由电阻和电容所组成。多路选择开关控制被测信号衰减的倍数,最大可以实现100倍的衰减。信号输入最大为50V,经过100倍衰减以后将变成0.5V,刚好在AD转换的电压范围之内。衰减的具体控制是由处理器来进行控制。考虑到信号输入的频带宽度。本系统选择的模拟多路开关为MAX4547来实现。它工作的信号频带宽,可以控制直流到300MHz的信号。其结构如图5-3所示:

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

毕业设计(论文)开题报告-简易数字示波器设计

毕业设计(论文)开题报告-简易数字示波器设计西安交通大学XX学院 本科毕业设计(论文)开题报告 题目简易数字示波器设计所在系电气与信息工程学生姓名 XXXXX 专业电子信息工程班级信息XXX学号 XXXXXX3 指导教师 XXXX 教学服务中心制表 年月 本科毕业设计(论文)开题报告 对题目的陈述 1.结合毕业设计(论文)课题情况,根据查阅的文献资料,撰写1000字左右的文献综述: (说明选题意义、国内外研究现状、主要研究内容) 数字示波器是数据采集,A/D转换,软件编程等一系列的技术制造出来的高性能示波器。数字示波器一般支持多级菜单,能提供给用户多种选择,多种分析功能。还有一些示波器可以提供存储,实现对波形的保存和处理。目前高端数字示波器主要依靠美国技术,对于300MHz带宽之内的示波器,目前国内品牌的示波器在性能上已经可以和国外品牌抗衡,且具有明显的性价比优势, 数字示波器自上个世纪七十年代诞生以来,其应用越来越广泛,已成为测试工程师必备的工具之一。21世纪是一个科学和技术都在飞速发展的时代,随着电子技术、计算机技术、通信技术和自动化技术的高速发展,电子测量仪器也有了巨大的发展。数字式示波器就以其存储波形及多种信号分析、计算、处理等优良的性能从而逐步取代模拟示波器。用数字示波器能完成对信号的一次性采集,把波形存储起来,还可以通过移位操作观察波形的任何一部分等等。

数字示波器是随着数字集成电路技术的发展而出现的新型智能化示波器,己经成为电子测量领域的基础测试仪器。随着新技术、新器件的发展,它正在向宽带化、模块化、多功能和网络化的方向发展。数字示波器的优势是可以实现高带宽及强大的分析功能。现在高端数字示波器的实时带宽已达到20GHz,可以广泛应用于各种千兆以太网、光通讯等测试领域。而低端数字示波器几乎可以应用于国民经济各个领域的通用测试,同时可广泛应用于高校及职业学校的教学,为社会培养众多的后备人才。数字示波器的技术基础是数据采集,其设计技术可以应用于更广泛的数据采集产品中,具有深远的意义。 为了巩固大学4年来所学的知识,将课本上的理论知识运用到实际中,我选择了简易数字示波器这个题目作为的大学毕业设计题目。 自从1972年世界上第一台数字示波器问世以来,经历了三个发展阶段。1986年以前为DSO发展的初期阶段,当时的取样率较低,一般不超过50MSa/s,带宽在20MHz以下,结构形式以数字存储加传统模拟示波器二合一的组合式为主,功能少,性能低。主要代表性产品有美国哥德(Gould)公司生产的4035,HP公司生产的HP54200。1986年--1994年,伴随高速ADC和高速RAM的迅速发展,DSO的发展也进入了快车道,取样率达到了4GSa/s,记录长度超过32K。每年各示波器生产厂商都推出新的型号,技术上开始走向成熟。1989年,HP公司率先停止了模拟示波器的生产,专心培育数字示波器市场。到1993年,DSO的销售额就超过了传统模拟示波器,使持续将近半个世纪的模拟示波器市场发生动摇。1995年以后,DSO 在技术上己经成熟,带宽在100MHz以上,DSO已经完全取代了模拟示波器。2004年10月,AGILENT公司推出了具震撼性的DS081304A数字存储示波器,带宽 3GHz,上升时间23ps,最高采样率40GHz。这时,除了继续提高取样率(最高达 40GSa/s)、带宽(达20GHz)和增加记录长度(达16MB)外,DSO制造商开始向100MHz 以下带宽的通用DSO方向发展,并且性价比迅速提高。1996年,AGILENT公司面向

数字示波器基础知识

数字示波器基础知识 耦合 耦合控制机构决定输入信号从示波器前面板上的BNC输入端通到该通道垂直偏转系统其它部分的方式。耦合控制可以有两种设置方式,即DC耦合和AC耦合。 DC耦合方式为信号提供直接的连接通路。因此信号提供直接的连接通路。因此信号的所有分量(AC 和:DC)都会影响示波器的波形显示。 AC耦合方式则在BDC端和衰减器之间串联一个电容。这样,信号的DC分量就被阻断,而信号的低频AC分量也将受阻或大为衰减。示波器的低频截止频率就是示波器显示的信号幅度仅为其直实幅度为71%时的信号频率。示波器的低频截止频率主要决定于其输入耦合电容的数值。 和耦合控制机构有关的另一个功能是输入接地功能。这时,输入信号和衰减器断开并将衰减器输入端连至示波器的地电平。当选择接地时,在屏幕上将会看到一条位于0V电平的直线。这时可以使用位置控制机构来调节这个参考电平或扫描基线的位置。 输入阻抗 多数示波器的输入阻抗为1MΩ和大约25pF相关联。这足以满足多数应用场合的要求,因为它对多数电路的负载效应极小。 有些信号来自50Ω输出阻抗的源。为了准确的测量这些信号并避免发生失真,必须对这些信号进行正确的传送和端接。这时应当使用50Ω特性阻抗的电缆并用50Ω的负载进行端接。某些示波器,如PM3094和PM3394A,内部装有一个50Ω的负载,提供一种用户可选择的功能。为避免误操作,选择此功能时需经再次确认。由于同样的理由,50Ω输入阻抗功能不能和某些探头配合使用。 相加和反向 简单的把两个信号相加起来似乎没有什么实际意义。然百,把两个有关信号之一反向,再将二者相加,实际上就实现了两个信号的相减。这对于消除共模干扰(即交流声),或者进行差分测量都是非常有用的。 从一个系统的输出信号中减去输入信号,再进行适当的比例变换,就可以测出被测系统引起的失真。 由于很多电子系统本身就具有反向的特性,这样只要把示波器的两个输入信号相加就能实现我们所期望的信号相减。 带宽

简易数字示波器设计_本科论文

摘要 本科毕业设计论文 题目简易数字示波器设计 I

西安交通大学城市学院本科生毕业设计(论文) 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

摘要 学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日 III

数字示波器的设计

计算机工程应用技术本栏目责任编辑:贾薇薇 数字示波器的设计 刘岩 (天津工业大学信息与通信工程学院,天津300160) 摘要:数字示波器是现代电子测量中最常角的仪器,它是一种可以用来观察、测量、记录各种瞬时电压,并以波形方式显示其与时间关系的电子仪器。本文中详细介绍了数字存储示波器的原理及特点,给出了一种以单片机和可编程逻辑器件为控制核心的设计方案,同时给出了其硬件和软件设计的结构及思路。 关键词:数字示波器;模块化;FPGA 中图分类号:TM935文献标识码:A文章编号:1009-3044(2008)20-30375-02 TheDesignofDigitalOscilloscope LIUYan (TianjinIndustryUniversity,InformationandCommunicationEngineeringInstitute,Tianjin300160,China) Abstract:Themodernelectronicdigitaloscilloscopeisthemostcommonlymeasuredangleoftheapparatus,whichisacanbeusedtoob-serve,measureandrecordallkindsoftransientvoltageandwavetoshowtheirrelationshipwiththetimetheelectronicdevice.Thisarticledescribedthedigitalstorageoscilloscopeindetailandtheprinciplefeaturesofthispaper,amicrocontrollerandaprogrammablelogicdevicetocontrolthecoreofthedesignplan,andgaveitshardwareandsoftwaredesignofthestructureandideas. Keywords:Digitaloscilloscopes;modular;FPGA 1引言 数字示波器是智能化数字存储示波器的简称,是模拟示波器技术、数字化测量技术、计算机技术的综合产物。它能够长期存储波形,可进行负延时触发,便于观侧单次过程和缓变信号,具有多种显示方式和多种输出方式,同时还可以进行数学计算和数据处理,功能扩展也十分方便,比普通模拟示波器具有更强大的功能,因此在电子电信类实验室中使用越来越广泛。 2数字示波器的工作原理 数字存储示波器不是将波形存储在示波管内的存储栅网上,而是存在存储器中,因而存储时间可以无限长。数字存储示波器主要利用A/D转换技术和数字存储技术来工作,它能迅速捕捉瞬变信号并长期保存。该示波器首先对模拟信号进行高速采样以获得相应的数字数据并存储,存储器中储存的数据用来在示波器的屏幕上重建信号波形;然后利用数字信号处理技术对采样得到的数字信号进行相关处理与运算,从而获得所需要的各种信号参数;最后,该示波器根据得到的信号参数绘制信号波形,并对被测信号进行实时、瞬态分析,以方便用户了解信号质量,快速准确地进行故障诊断。数字存储示波器将输入模拟信号经过AD/转换,变成数字信号,储存在半导体存储器RAM中,需要时将RAM中存储的内容读出显示在LCD,或通过DA/转换,将数字信号变换成模拟波形显示在示波管上。数字存储示波器框图如图l所示。数字存储示波器可以采用实时采样,每隔一个采样周期采样一次,可以观察非周期信号川。数字示波器的采样方式包括实时采样和等效采样(非实时采样)。等效采样又可以分为随机采样和顺序采样,等效采样方式大多用于测量周期信号。数字示波器工作原理框架如图1。 图1数字存储示波器的基本原理方框图 3数字示波器的主要特点 与传统的模拟示波器相比,数字存储示波器有其非常突出的特点,其具体表现如下:(1)信号采样速率大大提高数字存储示波器首先在采样速率上有较大地提高。可从最初采样速率等于两倍带宽提高至五倍甚至十倍。相应对正弦波取样引入的失真也从10%降低至3%甚至1%。(2)显示更新速率更高数字存储示波器的显示更新速率最高可达每秒40万个波形,因而在观察偶发信号和捕捉毛刺脉冲方面更加方便。(3)波形的采样、存储与显示可以分离在存储阶段,数字示波器可对快速信号采用较高的速率进行采样与存储,而对慢速信号则采用较低速率进行采样与存储;在显示阶段,不同频率的信号读出速度可以采用一个固定的速率并可以无闪烁地观测极慢信号与单次信号,这是模拟示波器所无能为力的。(4)存储时间长由于数字存储示波器是把模拟信号用数字方式存储起来,因此,其存储时间理论上可以无限长。(5)显示方式灵活多样为适应对不同波形的观测,数字存储示波器有滚动显示、刷新显示、 收稿日期:2008-04-22

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

毕业论文基于STC单片机虚拟简易示波器的设计

题目:基于STC单片机虚拟简易示波器的设计 目录 1.实验目的及意义 (1) 2. 试验内容及方案论证 (1) 3.系统工作原理 (2) 4.硬件电路设计 (2) 5.系统软件设计 (3) 5.1下位机设计 (3) 5.2 上位机设计 (6) 6.系统调试 (8) 6.1硬件调试 (8) 6.2 软件调试 (8) 6.3 软硬联调 (9) 7.实验结果与误差分析 (9) 8.实验小结及体会 ........................................................................ 错误!未定义书签。参考文献: (11)

1.实验目的及意义 (1)学会利用AT89C5X系列单片机控制AD7862实现模拟的电压的采集; (2)学会利用串口与PC机进行通信将测量数据发送给PC机,在PC机上利用Visual C++ 6.0编写上位机界面,并显示数据与波形; (3)通过应用Altium Designer 6软件掌握电路板的原理图绘制及pcb板的生成; (4)学会利用Keil uVision4软件编写并调试单片机的下位机程序,利用Keil uVision4与wave6000软件结合,对硬件电路采集来的数据进行分析。 2. 试验内容及方案论证 在实际应用中,经常会遇到一些突发信号,需要对其进行高速采集,这种情况下采用高速的A/D自然成为首选。AD7862是AD公司推出的一个高速,低功耗,双12位的A/D转换,单+5V供电,功率为60mW。它包含两个4us的延时的ADC,两个锁存器,一个内部的+2.5V参考电压和一个高速并行输出端口。有四个模拟输入通道,分为两组,由A0选择。每一组通道有两个输入(VA1 & VA2 or VB1 & VB2),它们能同时的被采样和转化,保存相对的信号信息。它可以接受+10v的输入电压范围(AD7862-10),+2.5(AD7862-3)和0-2.5v(AD7862-2)。对模拟电压输入,具有过电保护功能,相对地,允许输入电压到达+17v,+7v,+7v,而不会造成损害,本实验选用AD7862-10。其具有以下主要特点: 1、4通道模拟输入,2路同时转换(内置2个可同时工作的12位集成AD 转换器); 2、4us转换时间,250ksps采样速率; 3、可选模拟量输入±10V(AD7862-10); 4、高速12位并行总线输出; 5、内部提供+2.5V参考电压或者由外部提供参考电压;; 6、单一电源工作。 本实验采用的微处理器是STC89C52RC单片机。STC89C52RC单片机使用方便,它与AT89S52单片机具有相同的内核,内部有256 Bytes片内RAM、8K Flash ROM,支持串口下载,易于在线编程调试,故采用这种单片机来做处理器。

虚拟数字示波器的设计和实现

一、绪论 1.1 虚拟示波器背景 示波器是电子测量行业最常用的测量仪器之一,主要用来测量并显示被测信号的参数和波形,在科学研究、科学实验以及现场监测等许多领域被广泛应用。随着科学研究的不断深入和各种高新技术的不断发展,传统示波器的诸如波形不稳定、测读不准确等许多缺陷逐渐显露出来,而且体积大,耗电多,越来越不能满足现代应用的需要。 “虚拟仪器”这一新概念测量仪器的诞生,使示波器突破了传统,在功能和作用等多方面发生了根本性变化。虚拟仪器将计算机和测量系统融合于一体,用计算机软件代替传统仪器的某些硬件的功能,用计算机的显示器代替传统仪器物理面板。 虚拟示波器是虚拟仪器的一种,它不仅可以实现传统示波器的功能,具有存储、再现、分析、处理波形等特点,而且体积小,耗电少。虚拟示波器使用功能强大的微型计算机来完成信号的处理和波形的显示,利用软件技术在屏幕上设计出方便、逼真的仪器面板,进行各种信号的处理、加工和分析,用各种不同的方式(如数据、图形、图表等)表示测量结果,完成各种规模的测量任务。鉴于虚拟示波器的种种优点及广泛用途,研究出性能优越、价格低廉的虚拟示波器是十分重要的。 1.2 性能指标 本示波器与常见的示波器比较,最大的特点是可以定量地给出信号的各种参量,比如最大、最小值和频率等,无需使用者再去数格子,然后还要计算。特别适合于学校教学实验的需求,在学校教学中可以直联投影机,使全体学生都可以远距离看到信号波形的演示。 本示波器采样USB接口,其频率比并口示波器略高,同样支持直流测量,可以定量测量信号,主要技术指标如下: 采样频率:共八挡可调:323.53kHz、100kHz、50kHz、20kHz、10kHz、5kHz、2kHz、1kHz。本机测量的信号频率应在70kHz以下。 最高输入电压:共两挡可选:±2.5V,±12.5V,如果接入10:1示波器探棒,最大输入电压可达±125V。 输入阻抗:1MΩ。 供电电压:无需外部供电,直接从PC机的USB口取电。 接口:USB接口。 二、硬件设计 具体电路原理图见附录一,从图中可以看出电路的输入信号调理部分和信号转换部分与常见的并口示波器相同,R10、R11、R12、R13、R14、C19、C20和C21构成输入交直流切换和衰减网络,提供交直流输入切换和1:1、1:5的输入信号切换功能;TL074中的一个运放U 1 A和其周边元件构成一个跟随放大器,提供了输入保护和阻抗转换功能;TL074中的另一个运放U1B

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

数字存储示波器毕业论文

摘要 数字存储示波器是随着数字电路的发展而发展起来的一种具有存储功能的新型示波器。原先人们看好的模拟示波器的一些优点,目前数字示波器已完全能够做到,特别是在捕获非重复信号、避免信号的虚化和闪烁、在时间上从触发事件反问寻迹——实现在电路中隔离故障等方面,数字示波器显示出了模拟示波器无可比拟的优势。因此,数字示波器由于其优势的性能、良好的性能价格化,刚一问世,就显示出它强大的生命力,各行各业均迫切需要,有其广阔的发展前途。 本简易数字存储示波器,以单片机为控制核心,由通道调理、触发、波形显示等功能模块组成。本系统对触发系统、水平扫描速度和垂直灵敏度的自动设置功能(AUTOSET)及波形参数测量等功能进行了重点设计。设计中采用了模块化设计方法,并使用了多种EDA工具,提高了设计效率。整个设计实现了存储示波器的所有功能要求,达到较高的性能指标。 关键词:存储器,转换器,数字存储示波器,单片机

ABSTRACT It is that one developed with development of the digital circuit is new-type oscillograph which stores the function that the figure stores the oscillograph . Original ancestors see some advantages of the good simulation oscillograph , the digital oscillograph can already be accomplished at present, catching and is not repeating the signal, avoiding melting and glimmers specially emptily, reply the mark of seeking from the incident of touching off on time of the signal --Realizing it in isolating the trouble in the circuit etc., the digital oscillograph demonstrates the incomparable advantage of the simulation oscillograph . So digital oscillograph because performance , good performance price of advantage their, just coming out , demonstrated its strong vitality, all trades and professions needed urgently , there is its wide development prospect. . T his simple and easy figure stores the oscillograph, regard one-chip computer as the core of controlling, nursed one's health, touched off by the pass-way, the wave form shows, etc. the function module makes up . Such functions as automatic establishment function (AUTOSET ) and wave form parameter that this system scanned the speed and vertical sensitivity in touching off system , level are measured have been designed especially. Have adopt the module design method in the design, has used many kinds of EDA tools, have improved design efficiency. The whole of functions of designing and realizing storing the oscillograph require , reach the higher performance index Keyword: the memory , the converter, the figure stores the oscillograph , Micro Computer Unite

基于STM32的简易数字示波器

山东科技大学 课程设计报告 设计题目:基于STM32的简易数字示波器 专业: 班级学号: 学生姓名: 指导教师: 设计时间: 小组成员:

基于STM32的数字示波器设计 -----------硬件方面设计 摘要 本设计是基于ARM(Advance RISC Machine)以ARM9[2]为控制核心数字示波器的设计。包括前级电路处理,AD转换,波形处理,LCD显示灯模块。前级电路处理包括程控放大衰减器,极性转换电路,过零比较器组成,AD的转换速率最高为500KSPS,采用实时采样方式,设计中采用模块设计方法。充分使用了Proteus Multisim仿真工具,大大提高了设计效率,可测量输入频率范围为1HZ—50KHZ 的波形,测量幅度范围为-3.3V—+3.3V,并实现波形的放大和缩小,实时显示输入信号波形,同时测量波形输入信号的频率。 总体来看,本文所设计的示波器,体积小,价格低廉,低功耗,方便携带,适用范围广泛,基本上满足了某些场合的需要,同时克服了传统示波器体积庞大的缺点,减小成本。 关键词:AD ,ARM,实时采样,数字示波器

目录 前言---------------------------------------------------------------------------------3第一章绪论--------------------------------------------------------------------4 1.1课题背景---------------------------------------------------------------------4 1.2课题研究目的及意义----------------------------------------------------4 1.3课题主要的研究内容----------------------------------------------------5 第二章系统的整体设计方案--------------------------------------------6 2.1硬件总体结构思路--------------------------------------------------------6 第三章硬件结构设计------------------------------------------------------------7 3.1程控放大模块设计-------------------------------------------------------7 3.1.1程控放大电路的作用-------------------------------------------7 3.1.2程控放大电路所用芯片---------------------------------------7 3.1.3AD603放大电路及原理----------------------------------------8 3.2极性转换电路设计------------------------------------------------------10 3.3 AD转换电路及LED显示电路等(由组内其他同学完成) 第四章软件设计(由组内其他同学完成) 第五章性能能测试与分析--------------------------------------------------15 第六章设计结论及感悟-----------------------------------------------17参考文献----------------------------------------------------------------------18

相关主题
文本预览
相关文档 最新文档