当前位置:文档之家› 半导体专业术语

半导体专业术语

半导体专业术语
半导体专业术语

1.acceptance testing (WAT: wafer acceptance testing)

2.acceptor: 受主,如B,掺入Si中需要接受电子

3.Acid:酸

4.Active device:有源器件,如MOS FET(非线性,可以对信号放大)

5.Align mark(key):对位标记

6.Alloy:合金

7.Aluminum:铝

8.Ammonia:氨水

9.Ammonium fluoride:NH4F

10.Ammonium hydroxide:NH4OH

11.Amorphous silicon:α-Si,非晶硅(不是多晶硅)

12.Analog:模拟的

13.Angstrom:A(1E-10m)埃

14.Anisotropic:各向异性(如POLY ETCH)

15.AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质

量标准(不同于可靠性,可靠性要求一定时间后的失效率)

16.ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)

17.Argon(Ar)氩

18.Arsenic(As)砷

19.Arsenic trioxide(As2O3)三氧化二砷

20.Arsine(AsH3)

21.Asher:去胶机

22.Aspect ration:形貌比(ETCH中的深度、宽度比)

23.Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延

层)

24.Back end:后段(CONTACT以后、PCM测试前)

25.Baseline:标准流程

26.Benchmark:基准

27.Bipolar:双极

28.Boat:扩散用(石英)舟

29.CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为

多晶条宽。

30.Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。31.Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。

32.Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工

艺。

33.Chip:碎片或芯片。

34.CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种

综合方式。

35.Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

36.Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

https://www.doczj.com/doc/9b883158.html,pensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。

38.CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS

在同一个硅衬底上混合制造的工艺。

https://www.doczj.com/doc/9b883158.html,puter-aided design(CAD):计算机辅助设计。

40.Conductivity type:传导类型,由多数载流子决定。在N型材料中多数载流子是电子,在

P型材料中多数载流子是空穴。

41.Contact:孔。在工艺中通常指孔1,即连接铝和硅的孔。

42.Control chart:控制图。一种用统计数据描述的可以代表工艺某种性质的曲线图表。

43.Correlation:相关性。

44.Cp:工艺能力,详见process capability。

45.Cpk:工艺能力指数,详见process capability index。

46.Cycle time:圆片做完某段工艺或设定工艺段所需要的时间。通常用来衡量流通速度的快慢。

47.Damage:损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以

叫做损伤。

48.Defect density:缺陷密度。单位面积内的缺陷数。

49.Depletion implant:耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。(耗尽

晶体管指在栅压为零的情况下有电流流过的晶体管。)

50.Depletion layer:耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域。

51.Depletion width:耗尽宽度。53中提到的耗尽层这个区域的宽度。

52.Deposition:淀积。一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一

种方法。

53.Depth of focus(DOF):焦深。

54.design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果

的统计合理性等目的,所设计的初始工程批试验计划。

55.develop:显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程)

56.developer:Ⅰ)显影设备;Ⅱ)显影液

57.die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上

的部分划片槽区域。

58.dielectric:Ⅰ)介质,一种绝缘材料;Ⅱ)用于陶瓷或塑料封装的表面材料,可以提供电绝

缘功能。

59.diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形

成与衬底材料反型的杂质离子层。

60.drive-in:推阱,指运用高温过程使杂质在硅片中分布扩散。

61.dry etch:干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物

理腐蚀及化学腐蚀的工艺过程。

62.effective layer thickness:有效层厚,指在外延片制造中,载流子密度在规定范围内的硅

锭前端的深度。

63.EM:electromigration,电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩

散过程。

64.epitaxial layer:外延层。半导体技术中,在决定晶向的基质衬底上生长一层单晶半导体

材料,这一单晶半导体层即为外延层。

65.equipment downtime:设备状态异常以及不能完成预定功能的时间。

66.etch:腐蚀,运用物理或化学方法有选择的去除不需的区域。

67.exposure:曝光,使感光材料感光或受其他辐射材料照射的过程。

68.fab:常指半导体生产的制造工厂。

69.feature size:特征尺寸,指单个图形的最小物理尺寸。

70.field-effect transistor(FET):场效应管。包含源、漏、栅、衬四端,由源经栅到漏的

多子流驱动而工作,多子流由栅下的横向电场控制。

71.film:薄膜,圆片上的一层或多层迭加的物质。

72.flat:平边

73.flow velocity:流速计

74.flow volume:流量计

75.flux:单位时间内流过给定面积的颗粒数

76.forbidden energy gap:禁带

77.four-point probe:四点探针台

78.functional area:功能区

79.gate oxide:栅氧

80.glass transition temperature:玻璃态转换温度81.gowning:净化服

82.gray area:灰区

83.grazing incidence interferometer:切线入射干涉仪

84.hard bake:后烘

85.heteroepitaxy:单晶长在不同材料的衬底上的外延方法

86.high-current implanter:束电流大于3ma的注入方式,用于批量生产

87.hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉99.97%的大

于0.3um的颗粒

88.host:主机

89.hot carriers:热载流子

90.hydrophilic:亲水性

91.hydrophobic:疏水性

92.impurity:杂质

93.inductive coupled plasma(ICP):感应等离子体

94.inert gas:惰性气体

95.initial oxide:一氧

96.insulator:绝缘

97.isolated line:隔离线

98.implant : 注入

99.impurity n : 掺杂

100.j unction : 结

101.junction spiking n :铝穿刺

102.k erf :划片槽

103.l anding pad n :PAD

104.l ithography n 制版

105.m aintainability, equipment : 设备产能

106.m aintenance n :保养

107.m ajority carrier n :多数载流子

108.m asks, device series of n : 一成套光刻版

109.m aterial n :原料

110.matrix n 1 :矩阵

111.mean n : 平均值

112.measured leak rate n :测得漏率

113.median n :中间值

114.memory n : 记忆体

115.metal n :金属

116.nanometer (nm) n :纳米

117.nanosecond (ns) n :纳秒

118.nitride etch n :氮化物刻蚀

119.nitrogen (N2 ) n:氮气,一种双原子气体

120.n-type adj :n型

121.ohms per square n:欧姆每平方: 方块电阻

122.o rientation n:晶向,一组晶列所指的方向

123.o verlap n :交迭区

124.o xidation n :氧化,高温下氧气或水蒸气与硅进行的化学反应

125.p hosphorus (P) n :磷,一种有毒的非金属元素

126.p hotomask n :光刻版,用于光刻的版

127.p hotomask, negative n:反刻

128.i mages:去掉图形区域的版

129.p hotomask, positive n:正刻

130.p ilot n :先行批,用以验证该工艺是否符合规格的片子

131.plasma n :等离子体,用于去胶、刻蚀或淀积的电离气体

132.p lasma-enhanced chemical vapor deposition (PECVD) n:等离子体化学气相淀积,低温条件下的等离子淀积工艺

133.p lasma-enhanced TEOS oxide deposition n:TEOS淀积,淀积TEOS的一种工艺134.p n junction n:pn结

135.p ocked bead n:麻点,在20X下观察到的吸附在低压表面的水珠

136.p olarization n:偏振,描述电磁波下电场矢量方向的术语

137.p olycide n:多晶硅/金属硅化物,解决高阻的复合栅结构

138.p olycrystalline silicon (poly) n:多晶硅,高浓度掺杂(>5E19)的硅,能导电。

139.p olymorphism n:多态现象,多晶形成一种化合物以至少两种不同的形态结晶的现象140.p rober n :探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。141.process control n :过程控制。半导体制造过程中,对设备或产品规范的控制能力。142.p roximity X-ray n :近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩膜版,从而使对应的光刻胶暴光。

143.p ure water n : 纯水。半导体生产中所用之水。144.q uantum device n :量子设备。一种电子设备结构,其特性源于电子的波动性。

145.q uartz carrier n :石英舟。

146.r andom access memory (RAM) n :随机存储器。

147.r andom logic device n :随机逻辑器件。

148.r apid thermal processing (RTP) n :快速热处理(RTP)。

149.r eactive ion etch (RIE) n : 反应离子刻蚀(RIE)。

150.r eactor n :反应腔。反应进行的密封隔离腔。

151.recipe n :菜单。生产过程中对圆片所做的每一步处理规范。

152.r esist n :光刻胶。

153.s canning electron microscope (SEM) n :电子显微镜(SEM)。

154.s cheduled downtime n : (设备)预定停工时间。

155.S chottky barrier diodes n :肖特基二极管。

156.s cribe line n :划片槽。

157.s acrificial etchback n :牺牲腐蚀。

158.s emiconductor n :半导体。电导性介于导体和绝缘体之间的元素。

159.s heet resistance (Rs) (or per square) n :薄层电阻。一般用以衡量半导体表面杂质掺杂水平。

160.s ide load: 边缘载荷,被弯曲后产生的应力。

161.silicon on sapphire(SOS)epitaxial wafer:外延是蓝宝石衬底硅的原片

162.s mall scale integration(SSI):小规模综合,在单一模块上由2到10个图案的布局。174. spin webbing: 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。

175. sputter etch: 溅射刻蚀,从离子轰击产生的表面除去薄膜。

176. stacking fault:堆垛层错,原子普通堆积规律的背离产生的2次空间错误。

177. steam bath:蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。

178. step response time:瞬态特性时间,大多数流量控制器实验中,普通变化时段到气流刚到达特定地带的那个时刻之间的时间。

179. stepper: 步进光刻机(按BLOCK来曝光)

180. stress test: 应力测试,包括特定的电压、温度、湿度条件。

181. surface profile:表面轮廓,指与原片表面垂直的平面的轮廓(没有特指的情况下)。182. symptom:征兆,人员感觉到在一定条件下产生变化的弊病的主观认识。

183. tack weld:间断焊,通常在角落上寻找预先有的地点进行的点焊(用于连接盖子)。184. Taylor tray:泰勒盘,褐拈土组成的高膨胀物质。

185. temperature cycling:温度周期变化,测量出的重复出现相类似的高低温循环。

186. testability:易测性,对于一个已给电路来说,哪些测试是适用它的。

187. thermal deposition:热沉积,在超过950度的高温下,硅片引入化学掺杂物的过程。188. thin film:超薄薄膜,堆积在原片表面的用于传导或绝缘的一层特殊薄膜。

189. titanium(Ti): 钛。

190. toluene(C6H5CH3): 甲苯。有毒、无色易燃的液体,它不溶于水但溶于酒精和大气。191. 1,1,1-trichloroethane(TCA)(CL3CCH3): 有毒、不易燃、有刺激性气味的液态溶剂。这种混合物不溶于水但溶于酒精和大气。

192. tungsten(W): 钨。

193. tungsten hexafluoride(WF6): 氟化钨。无色无味的气体或者是淡黄色液体。在CVD中WF6用于淀积硅化物,也可用于钨传导的薄膜。

194. tinning: 金属性表面覆盖焊点的薄层。

195. total fixed charge density(Nth): 下列是硅表面不可动电荷密度的总和:氧化层固定电荷密度(Nf)、氧化层俘获的电荷的密度(Not)、界面负获得电荷密度(Nit)。

196. watt(W): 瓦。能量单位。

197. wafer flat: 从晶片的一面直接切下去,用于表明自由载流子的导电类型和晶体表面的晶向,也可用于在处理和雕合过程中的排列晶片。

198. wafer process chamber(WPC): 对晶片进行工艺的腔体。

199. well: 阱。

200. wet chemical etch: 湿法化学腐蚀。

201. trench: 深腐蚀区域,用于从另一区域隔离出一个区域或者在硅晶片上形成存储电容器。202. via: 通孔。使隔着电介质的上下两层金属实现电连接。

203. window: 在隔离晶片中,允许上下两层实现电连接的绝缘的通道。

204. torr : 托。压力的单位。

205. vapor pressure: 当固体或液体处于平衡态时自己拥有的蒸汽所施加的压力。蒸汽压力是与物质和温度有关的函数。

206. vacuum: 真空。

207. transition metals: 过渡金属

4. ADI After develop inspection显影后检视

5. AEI 蚀科后检查

6. Alignment 排成一直线,对平

7. Alloy 融合:电压与电流成线性关系,降低接触的阻值

8. ARC:anti-reflect coating 防反射层9. ASHER: 一种干法刻蚀方式

10. ASI 光阻去除后检查

11. Backside 晶片背面

12. Backside Etch 背面蚀刻

13. Beam-Current 电子束电流

14. BPSG: 含有硼磷的硅玻璃

15. Break 中断,stepper机台内中途停止键

16. Cassette 装晶片的晶舟

17. CD:critical dimension 关键性尺寸

18. Chamber 反应室

19. Chart 图表

20. Child lot 子批

21. Chip (die) 晶粒

22. CMP 化学机械研磨

23. Coater 光阻覆盖(机台)

24. Coating 涂布,光阻覆盖

25. Contact Hole 接触窗

26. Control Wafer 控片

27. Critical layer 重要层

28. CVD 化学气相淀积

29. Cycle time 生产周期

30. Defect 缺陷

31. DEP: deposit 淀积

32. Descum 预处理

33. Developer 显影液;显影(机台)

34. Development 显影

35. DG: dual gate 双门

36. DI water 去离子水

37. Diffusion 扩散

38. Doping 掺杂

39. Dose 剂量

40. Downgrade 降级

41. DRC: design rule check 设计规则检查

42. Dry Clean 干洗

43. Due date 交期

44. Dummy wafer 挡片

45. E/R: etch rate 蚀刻速率

46. EE 设备工程师

47. End Point 蚀刻终点

48. ESD: electrostatic discharge/electrostatic damage 静电离子损伤

49. ET: etch 蚀刻

50. Exhaust 排气(将管路中的空气排除)

51. Exposure 曝光

52. FAB 工厂

53. FIB: focused ion beam 聚焦离子束

54. Field Oxide 场氧化层

55. Flatness 平坦度

56. Focus 焦距

57. Foundry 代工

58. FSG: 含有氟的硅玻璃

59. Furnace 炉管

60. GOI: gate oxide integrity 门氧化层完整性

61. H.M.D.S Hexamethyldisilazane,经去水烘烤的晶片,将涂上一层增加光阻与晶片表面附着力的化合物,称H.M.D.S

62. HCI: hot carrier injection 热载流子注入

63. HDP:high density plasma 高密度等离子体

64. High-Voltage 高压

65. Hot bake 烘烤

66. ID 辨认,鉴定

67. Implant 植入

68. Layer 层次

69. LDD: lightly doped drain 轻掺杂漏

70. Local defocus 局部失焦因机台或晶片造成之脏污

71. LOCOS: local oxidation of silicon 局部氧化

72. Loop 巡路73. Lot 批

74. Mask (reticle) 光罩

75. Merge 合并

76. Metal Via 金属接触窗

77. MFG 制造部

78. Mid-Current 中电流

79. Module 部门

80. NIT: Si3N4 氮化硅

81. Non-critical 非重要

82. NP: n-doped plus(N+) N型重掺杂

83. NW: n-doped well N阱

84. OD: oxide definition 定义氧化层

85. OM: optic microscope 光学显微镜

86. OOC 超出控制界线

87. OOS 超出规格界线

88. Over Etch 过蚀刻

89. Over flow 溢出

90. Overlay 测量前层与本层之间曝光的准确度

91. OX: SiO2 二氧化硅

92. P.R. Photo resisit 光阻

93. P1: poly 多晶硅

94. PA; passivation 钝化层

95. Parent lot 母批

96. Particle 含尘量/微尘粒子

97. PE: 1. process engineer; 2. plasma enhance 1、工艺工程

师2、等离子体增强

98. PH: photo 黄光或微影

99. Pilot 实验的

100. Plasma 电浆

101. Pod 装晶舟与晶片的盒子

102. Polymer 聚合物

103. POR Process of record

104. PP: p-doped plus(P+) P型重掺杂

105. PR: photo resist 光阻

106. PVD 物理气相淀积

107. PW: p-doped well P阱

108. Queue time 等待时间

109. R/C: runcard 运作卡

110. Recipe 程式

111. Release 放行

112. Resistance 电阻

113. Reticle 光罩

114. RF 射频

115. RM: remove. 消除

116. Rotation 旋转

117. RTA: rapid thermal anneal 迅速热退火

118. RTP: rapid thermal process 迅速热处理

119. SA: salicide 硅化金属

120. SAB: salicide block 硅化金属阻止区

121. SAC: sacrifice layer 牺牲层

122. Scratch 刮伤

123. Selectivity 选择比

124. SEM:scanning electron microscope 扫描式电子显微

125. Slot 槽位

126. Source-Head 离子源

127. SPC 制程统计管制

128. Spin 旋转

129. Spin Dry 旋干

130. Sputter 溅射

131. SRO: Si rich oxide 富氧硅

132. Stocker 仓储

133. Stress 内应力

134. STRIP: 一种湿法刻蚀方式

135. TEOS – (CH3CH2O)4Si 四乙氧基硅烷/正硅酸四乙酯,

常温下液态。作LPCVD /PECVD生长SiO2的原料。又指用

TEOS生长得到的SiO2层。

136. Ti 钛

137. TiN 氮化钛

138. TM: top metal 顶层金属层

139. TOR Tool of record

140. Under Etch 蚀刻不足

141. USG: undoped 硅玻璃

142. W (Tungsten) 钨

143. WEE 周边曝光

144. mainframe 主机

145. cassette 晶片盒

146. amplifier 放大器

147. enclosure 外壳

148. wrench 扳手

149. swagelok 接头锁紧螺母

150. clamp 夹子

151. actuator激励

152. STI shallow trench isolantion 浅沟道隔离层153. SAB 硅铝块

154. UBM球下金属层镀模工艺

155. RDL金属连线重排工艺

156. RIE reactinv ion etch 反应离子etch

157. ICP inductive couple plasma 感应等离子体

158. TFT thin film transistor 薄模晶体管

159. ALD atomic layer deposition 原子层淀积

160. BGA ball grid array 高脚封装

161. AAS atomic absorptions spectroscopy 原子吸附光谱162. AFM atomic force microscopy 原子力显微

163. ASIC 特定用途集成电路

164. ATE 自动检测设备

165. SIP self-ionized plasma 自电离电浆

166. IGBT 绝缘门双极晶体管

167. PMD premetal dielectric 电容168. TCU temperature control unit 温度控制设备

169. arc chamber 起弧室

vaporizer 蒸发器

filament 灯丝

repeller 反射板

ELS extended life source 高寿命离子源

analyzer magnet 磁分析器

post accel 后加速器

quad rupole lens 磁聚焦透镜

disk/flag faraday 束流测量器

e-shower 中性化电子子发生器

extrantion electrode 高压吸极

disk 靶盘

rotary drive 旋转运动

liner drive 直线往复运动

gyro drive 两方向偏转

flat aligener 平边检测器

loadlock valve 靶盘腔装片阀

reservoir 水槽

string filter 过滤器

DI filter 离子交换器

chiller 制冷机

heat exchange 热交换机

Yield 良率

Parameter参数

PAC感光化合物

ASIC特殊应用集成电路

Solvent 溶剂

Carbide碳

Refractive折射

Expansion膨胀

Strip 湿式刻蚀法的一种

TM: top mental 顶层金属层

WEE 周边曝光

PSG 硼硅玻璃

MFG 制造部

Runcard 运作卡

POD 装晶舟和晶片的盒子

Scratch 刮伤

Reticle 光罩

Sputter 溅射

Spin 旋转

Merge 合并

半导体行业专业词汇

半导体行业专业词汇 . acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

半导体专业术语英语..

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

(完整版)英语语法专业术语

英语语法专业术语 语法grammar 句法syntax 词法morphology 结构structure 层次rank 句子sentence 从句clause 词组phrase 词类part of speech 单词word 实词notional word 虚词structural word 名词noun 专有名词proper noun 普通名词common noun 可数名词countable noun 不可数名词uncountable no 抽象名词abstract noun 具体名词concrete noun 物质名词material noun 集体名词collective noun 个体名词individual noun 介词preposition 连词conjunction 动词verb 主动词main verb 及物动词transitive verb 不及物动词intransitive verb 系动词link verb 助动词auxiliary verb 情态动词modal verb 规则动词regular verb 不规则动词irregular verb 短语动词phrasal verb 限定动词finite verb 非限定动词infinite verb 使役动词causative verb 感官动词verb of senses

动态动词event verb 静态动词state verb 感叹词exclamation 形容词adjective 副词adverb 方式副词adverb of manner 程度副词adverb of degree 时间副词adverb of time 地点副词adverb of place 修饰性副词adjunct 连接性副词conjunct 疑问副词interrogative adverb 关系副词relative adverb 代词pronoun 人称代词personal pronoun 物主代词possessive pronoun 反身代词reflexive pronoun 相互代词reciprocal pronoun 指示代词demonstrative pronoun 疑问代词interrogative pronoun 关系代词relative pronoun 不定代词indefinite 物主代词possessive pronoun 名词性物主代词nominal possessive 形容词性物主代词adjectival possessive pronoun 冠词article 定冠词definite article 不定冠词indefinite article 数词numeral 基数词cardinal numeral 序数词ordinal numeral 分数词fractional numeral 形式form 单数形式singular form 复数形式plural form 限定动词finite verb form 非限定动词non-finite verb form

半导体一些术语的中英文对照

离子注入机 ion implanter LSS理论 Lindhand Scharff and Schiott theory 又称“林汉德-斯卡夫-斯高特理论”。 沟道效应 channeling effect 射程分布 range distribution 深度分布 depth distribution 投影射程 projected range 阻止距离 stopping distance 阻止本领 stopping power 标准阻止截面 standard stopping cross section 退火 annealing 激活能 activation energy 等温退火 isothermal annealing 激光退火 laser annealing 应力感生缺陷 stress-induced defect 择优取向 preferred orientation

制版工艺 mask-making technology 图形畸变 pattern distortion 初缩 first minification 精缩 final minification 母版 master mask 铬版 chromium plate 干版 dry plate 乳胶版 emulsion plate 透明版 see-through plate 高分辨率版 high resolution plate, HRP 超微粒干版 plate for ultra-microminiaturization 掩模 mask 掩模对准 mask alignment 对准精度 alignment precision 光刻胶 photoresist 又称“光致抗蚀剂”。 负性光刻胶 negative photoresist

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

英语语法术语汇总

BE-subjunctive be-型虚拟式 object complement 宾语补语 noun phrase of amount or quantity 表示数量概念的名词词组 infinitive 不定式full sentence完全句headword中心词 dynamic verb 动态动词cleft sentence 分裂句main clause主句 nominative absolute construction 独立主格结构direct object 直接宾语 present perfective progressive 现在完成进行体subject complement主语补语 predicate verb 谓语动词determiner限定词ordinal numeral序数词 verbless clause 无动词分句finite verb phrase限定动词词组 possessive pronoun 物主代词gender性main verb 主动词 antecedent先行项subjunctive mood 虚拟式irregular verb 不规则动词root 词根demonstrative pronoun指示代词 semi-auxiliary 半助动词compound complex

sentence 并列复杂句 passive voice 被动态coordinate construction 并列结构 comparative clause 比较分句 indefinite pronoun 不定代词 intransitive verb不及物动词vocabulary词汇bound morpheme粘附词素 uncountable noun不可数名词morpheme词素central determiner中位限定词 indicative mood 陈述式affix 词缀proper noun专有名词 abstract noun 抽象名词phrasal verb 词组动词 notional concord 意义一致原则auxiliary助动词active voice主动态 open class 开放词类linking verb 连系动词historical present 历史性现在时conjunction 连词 unit noun 单位名词reflexive pronoun 反身代词 second person 第二人称unemphatic use非强调性用法 definite article 定冠词basic clause type分句基本

半导体行业专业术语

半导体行业专业术语.txt都是一个山的狐狸,你跟我讲什么聊斋,站在离你最近的地方,眺望你对别人的微笑,即使心是百般的疼痛只为把你的一举一动尽收眼底.刺眼的白色,让我明白什么是纯粹的伤害。悬赏太少了吧~嘎嘎不过尽管如此还是分享下俺的资料(有19800个字,这里发不下,如果还需要就给我小消息~~~):) 移动通讯词汇(中英) A 安全地线 safe ground wire 安全特性 security feature 安装线 hook-up wire 按半周进行的多周期控制 multicycle controlled by half-cycle 按键电话机 push-button telephone set 按需分配多地址 demand assignment multiple access(DAMA) 按要求的电信业务 demand telecommunication service 按组编码 encode by group B 八木天线 Yagi antenna 白噪声 white Gaussian noise 白噪声发生器 white noise generator 半波偶极子 halfwave dipole 半导体存储器 semiconductor memory 半导体集成电路 semiconductor integrated circuit 半双工操作 semi-duplex operation 半字节 Nib 包络负反馈 peak envelop negative feed-back 包络延时失真 envelop delay distortion 薄膜 thin film 薄膜混合集成电路 thin film hybrid integrated circuit 保护比(射频) protection ratio (RF) 保护时段 guard period 保密通信 secure communication 报头 header 报文分组 packet 报文优先等级 message priority 报讯 alarm 备用工作方式 spare mode 背景躁声 background noise 倍频 frequency multiplication 倍频程 actave 倍频程滤波器 octave filter 被呼地址修改通知 called address modified notification

英语语法1术语汇总

BE-subjunctive be-型虚拟式object complement 宾语补语 noun phrase of amount or quantity 表示数量概念的名词词组 infinitive 不定式full sentence完全句headword中心词dynamic verb 动态动词cleft sentence 分裂句main clause主句nominative absolute construction 独立主格结构direct object 直接宾语present perfective progressive现在完成进行体subject complement主语补语predicate verb 谓语动词determiner限定词ordinal numeral序数词verbless clause 无动词分句finite verb phrase限定动词词组 possessive pronoun 物主代词gender性main verb 主动词 antecedent先行项subjunctive mood 虚拟式 irregular verb 不规则动词root 词根demonstrative pronoun指示代词semi-auxiliary 半助动词compound complex sentence 并列复杂句passive voice 被动态coordinate construction 并列结构comparative clause 比较分句indefinite pronoun 不定代词 intransitive verb不及物动词vocabulary词汇bound morpheme粘附词素uncountable noun不可数名词morpheme词素central determiner中位限定词indicative mood 陈述式affix 词缀proper noun专有名词 abstract noun 抽象名词phrasal verb 词组动词 notional concord 意义一致原则auxiliary助动词active voice主动态 open class 开放词类linking verb 连系动词 historical present 历史性现在时conjunction 连词 unit noun 单位名词reflexive pronoun 反身代词 second person 第二人称unemphatic use非强调性用法 definite article 定冠词basic clause type分句基本类型 fractional numeral分数词closed class封闭词类 generic reference 类指derivative noun 派生名词 old English古英语complex sentence复杂句 relative clause 关系分句adverb phrase 副词词组 function word 功能词compound word复合词 countable noun 可数名词genitive noun 名词属格 article 冠词notional subject实义主语interjection 感叹词regular plural 规则复数transitive verb 及物动词 past perfective progressive 过去完成进行体mood 语气 implied conditional 含蓄条件句suffix后缀feminine gender阴性collective noun集体名词post-determiner后位限定词 indirect object 间接宾语principle of proximity就近原则 primary auxiliary 基本助动词sentence 句子 concord一致voice 语态common gender通性 question 疑问句base form原形SVOC主—动—宾—补结构imperative mood 祈使式predeterminer前位限定词 inflectional affix 屈折词缀number数voice态 personal pronoun 人称代词double genitive 双重属格 tense 时mood式ditransitive verb双宾语及物动词

半导体术语

Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件Aluminum(Aluminium) 铝Aluminum –oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度

Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器 Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程 Avalanche 雪崩 Avalanche breakdown 雪崩击穿 Avalanche excitation雪崩激发 B Background carrier 本底载流子 Background doping 本底掺杂 Backward 反向 Backward bias 反向偏置 Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙 Barrier 势垒

半导体物理--专业术语英汉对照-复习版

__________________________________________________ 1 acceptor 受主 2 allowed energy band允带 3 binary semiconductor 二元半导体 4 charge neutrality condition 电中性条件 5 compensated semiconductor 补偿半导体 6 conduction band and valence band 导带和价带 7 effective mass 有效质量 8 density of states function状态密度函数 9 diamond structure金刚石结构 10 diffusion coefficient扩散系数 11 donor施主 12 drift velocity 漂移速度 13 electron and hole电子和空穴 14 elemental semiconductor 元素半导体 15 equilibrium carrier concentration热平衡载流子浓度 16 expitaxy外延 17 extrinsic semiconductor非本征半导体 18 Fermi energy (or level)费米能级 19 Forbidden energy band禁带 20 indirect bandbap semiconductor非直接带隙半导体 21 intrinsic semiconductor本征半导体 22 majority carrier多数载流子 23 MBE分子束外延 24 Miller indices密勒指数 25 minority carrier少数载流子 26 mobility迁移率 27 MOCVD金属有机气相沉积 28 nondegenerate semiconductor非简并半导体 29 n-type material n型材料 30 Pauli exclusion principle 泡利不相容原理 31 phonon声子 32 photon光子 33 primitive cell原胞 34 quantum state量子态 35 quaternary semiconductor四元半导体 36 scattering散射 37 substrate衬底 38 thermal motion热运动 39 unit cell单胞 40 wave-particle duality波粒二相性 41 continuity equations连续性方程 42 diffusion length扩散长度 43 diffusion coefficient扩散系数 44 Einstein relationship爱因斯坦关系 45 p-n junction p-n结 46 built-in voltage 内建电势差 47 carrier lifetime 载流子寿命

最新英语语法专业术语整理

英语语法专业术语 本次听写要求:挑选部分英语术语打在PPT上,学生按序号写出相应中文术语。(一)词类part of speech 实词notional word ['n?u??n?l] 虚词structural word/ form word (e.g. article preposition conjunction interjection) 派生词derivative [di'riv?tiv, d?'riv?tiv] 复合词compound ['k?mpaund,k?m'paund] 词性part of speech 名词noun 专有名词proper noun 普通名词common noun 可数名词countable noun 不可数名词uncountable noun 单数形式singular form 复数形式plural form ['plu?r?l, 'plur?l] 抽象名词abstract noun [?b'str?kt,'?bstr?kt] 具体名词concrete noun ['k?nkri:t] 物质名词material noun 集体名词collective noun 个体名词individual noun 动词verb 主动词main verb 及物动词transitive verb ['tr?nsitiv, 'tr?ns?tiv] 不及物动词intransitive verb [in'tr?nsitiv, in'tr?ns?tiv] 系动词link verb 助动词auxiliary verb [?:g'zilj?ri] 情态动词modal verb 规则动词regular verb 不规则动词irregular verb 短语动词phrasal verb ['freizl, 'freiz?l] 限定动词finite verb ['fainait] 非限定动词infinite verb ['infinit, 'inf?nit] 使役动词causative verb ['k?:z?tiv] 感官动词verb of senses 动态动词event verb 静态动词state verb 形容词adjective 比较等级degrees of comparison ☆原级positive degree ☆比较级comparative degree

半导体专业术语英语讲解学习

半导体专业术语英语

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷

22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。

常用英语语法术语表

常用英语语法术语表句法syntax 词法morphology 结构structure 层次rank 句子sentence 从句clause 词组phrase 词类part of speech 单词word 实词notional word 虚词structural word 单纯词simple word 派生词derivative 复合词compound 词性part of speech 名词noun 专有名词proper noun 普通名词common noun 可数名词countable noun 不可数名词uncountable noun 抽象名词abstract noun 具体名词concret noun 物质名词material noun 集体名词collective noun 个体名词individual noun 介词preposition 连词conjunction 动词verb 主动词main verb 及物动词transitive verb 不及物动词intransitive verb 系动词link verb 助动词auxiliary verb 情态动词modal verb 规则动词regular verb 不规则动词irregular verb 短语动词phrasal verb 限定动词finite verb 非限定动词infinite verb 使役动词causative verb 感官动词verb of senses 动态动词event verb

静态动词state verb 感叹词exclamation 形容词adjective 副词adverb 方式副词adverb of manner 程度副词adverb of degree 时间副词adverb of time 地点副词adverb of place 修饰性副词adjunct 连接性副词conjunct 疑问副词interogative adverb 关系副词relative adverb 代词pronoun 人称代词personal pronoun 物主代词possesive pronoun 反身代词reflexive pronoun 相互代词reciprocal pronoun 指示代词demonstrative pronoun 疑问代词interrogative pronoun 关系代词relative pronoun 不定代词indefinite pronoun 物主代词possecive pronoun 名词性物主代词nominal possesive prnoun 形容词性物主代词adjectival possesive pronoun 冠词article 定冠词definite article 不定冠词indefinite article 数词numeral 基数词cardinal numeral 序数词ordinal numeral 分数词fractional numeral 形式form 单数形式singular form 复数形式plural form 限定动词finite verb form 非限定动词non-finite verb form 原形base form 从句clause 从属句subordinate clause 并列句coordinate clause 名词从句nominal clause 定语从句attributive clause 状语从句adverbial clause

半导体用语

半导体用语

————————————————————————————————作者: ————————————————————————————————日期: ?

Siliconingot 硅锭 Wafer晶片 Mirror wafer 镜面晶圆 Patter晶圆片 FAB:fabrication 制造 Fabrication Facility 制造wafer生产工厂 Probe test探针测试 Probe card探针板 Contact连接 ProbeTip 探头端部 Chip Function 功能 EPM:Electrical Parameter Monitoring Summary总结 R&D:Researchand Development研究和开发MCP:Multi Chip Package 多芯片封装 POP:Package on Package e-MMC:embedded Multi Media card 嵌入式多媒体卡WLP:Wafer Level Package 晶圆级封装 SDP 一层 DDP 两层 QDP 四层

ODP八层 Pad out BackGrind背研磨 WaferGrindBack Grind 磨片 Overview 概述 TPM:Total Profit Management SKTPM Operation 操作 Erase 消除 Key Para.:Keyparameter 关键参数 Cycling 写入次数、循环次数 Retention 保留时间 Non-V olatile memory Volatile memory Read读 Write写 Refresh 更新 Speed速度、速率、转速 Restore 修复、恢复 Electrical Signal 电信号 WFBI:WaferBurn-In PT1H:Probe Test1HotTest PT1C:Probe Test 1 ColdTest

英语语法专业术语新

英语语法专业术语 语法 grammar 句法 syntax 词法 morphology 结构 structure 层次 rank 句子 sentence 从句 clause 词组 phrase 词类 part of speech 单词 word 实词 notional word 虚词 structural word 名词 noun 专有名词 proper noun 普通名词 common noun 可数名词 countable noun 不可数名词 uncountable no 抽象名词 abstract noun 具体名词 concrete noun 物质名词 material noun 集体名词 collective noun 个体名词 individual noun 介词 preposition 连词 conjunction 动词 verb 主动词 main verb 及物动词 transitive verb 不及物动词 intransitive verb 系动词 link verb 助动词 auxiliary verb 情态动词 modal verb 规则动词 regular verb 不规则动词 irregular verb 短语动词 phrasal verb 限定动词 finite verb 非限定动词 infinite verb 使役动词 causative verb 感官动词 verb of senses 动态动词 event verb 静态动词 state verb 感叹词 exclamation 形容词 adjective

副词 adverb 方式副词 adverb of manner 程度副词 adverb of degree 时间副词 adverb of time 地点副词 adverb of place 修饰性副词 adjunct 连接性副词 conjunct 疑问副词 interrogative adverb 关系副词 relative adverb 代词 pronoun 人称代词 personal pronoun 物主代词 possessive pronoun 反身代词 reflexive pronoun 相互代词 reciprocal pronoun 指示代词 demonstrative pronoun 疑问代词 interrogative pronoun 关系代词 relative pronoun 不定代词 indefinite 物主代词 possessive pronoun 名词性物主代词 nominal possessive 形容词性物主代词 adjectival possessive pronoun 冠词 article 定冠词 definite article 不定冠词 indefinite article 数词 numeral 基数词 cardinal numeral 序数词 ordinal numeral 分数词 fractional numeral 形式 form 单数形式 singular form 复数形式 plural form 限定动词 finite verb form 非限定动词 non-finite verb form 原形 base form 从句 clause 从属句 subordinate clause 并列句 coordinate clause 名词从句 nominal clause 定语从句 attributive clause 状语从句 adverbial clause 宾语从句 object clause 主语从句 subject clause 同位语从句 appositive clause 时间状语从句 adverbial clause of time 地点状语从句 adverbial clause of place

相关主题
文本预览
相关文档 最新文档