当前位置:文档之家› 微机原理与接口技术实验指导书

微机原理与接口技术实验指导书

微机原理与接口技术实验指导书
微机原理与接口技术实验指导书

微机原理与接口技术实 验 指 导 书

吉林大学仪器科学与电气工程学院

2010年12月

吉林大学仪器科学与电气工程学院微机原理与接口技术实验指导书

目 录

第一章 软件实验部分 (2)

实验一显示程序与数据传送实验 (2)

实验二数码转换程序实验 (6)

实验三运算类指令编程实验 (8)

第二章 硬件实验部分 (11)

实验四8/32位I/O接口实验 (11)

实验五8255并口控制器应用实验 (15)

实验六8259中断控制器实验 (18)

实验七8254定时/计数器应用实验 (22)

实验八16550串行控制器应用实验 (327)

实验九8237DMA控制器应用实验 (26)

实验十A/D转换与D/A转换实验 (39)

附录A TD-PIT++实验系统硬件介绍 (43)

附录B TDPIT集成操作软件介绍 (46)

第一章 软件实验部分

本章主要通过实验来学习80X86的指令系统、寻址方式以及程序的设计方法,同时掌握集成操作软件Tdpit的使用。

实验一显示程序与数据传送实验

1、实验目的

1)掌握在PC机上以十六进制形式显示数据的方法。

2)掌握部分DOS功能调用使用方法。

3)掌握与数据有关的不同寻址方式。

3)熟悉Windows集成操作软件Tdpit的操作环境和操作方法。

2、实验设备

PC微机一台、TD-PIT++实验系统一套。

3、实验内容及说明

1.显示程序实验

一般来说,有很多程序需要显示输出提示运行的状况和结果,有的还需要将数据区中的内容显示在屏幕上。本实验要求将指定数据区的数据以十六进制数形式显示在屏幕上,并利用DOS功能调用完成一些提示信息的显示。通过本实验,初步掌握实验系统配套操作软件的使用。

实验中所使用DOS功能调用(INT 21H)说明如下。

(1) 显示单个字符输出

入口:AH=02H

调用参数:DL=输出字符

(2) 显示字符串

入口:AH=09H

调用参数:DS:DX=串地址,’$’为结束字符

(3) 键盘输入并回显

入口:AH=01H

返回参数:AL=输出字符

(4) 返回DOS系统

入口:AH=4CH

调用参数:AL=返回码

2.数据传送实验

本实验要求将数据段中的一个字符串传送到附加段中,并输出附加段中的目标字符串到屏幕上。

4、实验步骤

1.显示程序实验

(1) 运行Tdpit集成操作软件,进入编辑调试集成环境。

(2) 根据程序设计使用语言不同,在“语言设置”菜单项中设置所使用的语言。如图1-1所示。该项一经设置,会再下次启动后仍保持不变。

图1-1 设置语言环境

(3) 开始新建文件进行编程。点击“文件”菜单项中的“新建”,可以新建一个空白文档。默认名为Td-pit1。如图1-2所示。

图1-2 新建空白文档

(4) 编写程序,如图1-3所示,并保存,此时软件会提示输入新的文件名,输入文件名后点击保存。

图1-3 程序编辑界面

(5) 点击,编译文件,若程序编译无误,然后再点击,链接程序。编译连接成功会在输出信息栏显示输出信息,如图1-4所示。

图1-4 编译连接输出信息

(6) 编译连接成功后可以点击,运行程序,查看运行结果。

(7) 可以点击,调试程序,进入调试界面,进行程序的调试。

2.数据传送实验

(1) 运行Tdpit集成操作软件,编写实验程序。

(2) 编译连接无误后,点击,进入调试环境,进行程序的调试。如图1-5所示。

图1-5 进入调试环境

(3) 按F8键单步运行程序,执行完MOV DS,AX语句后,观察DS寄存器中出现的段地址。激活Dump数据显示区,用Ctrl+G命令,输入要查看的数据区地址-“0C69:0000”。如图1-6所示。可以在Dump数据区看到DS数据段中MSR源数据串-"HELLO,WORLD!$"。如图1-7所示。

图1-6 根据DS值查看数据段

图1-7 DS源数据段数据

(4) 继续单步运行程序,执行MOV ES,AX语句后,可以看到ES附加数据段出现的段地址,用同样的方法可以查看ES:0000的数据。如图1-8所示。

图1-8 根据ES值查看附加数据段

(5) 数据传输还没开始进行,此时ES段的数据为空。继续单步执行完程序,可以看到ES数据段逐渐被写入源数据段DS的数据。直到数据传输完毕,可以看到ES 数据段中目的数据串MSD已经被写入了数据串-"HELLO,WORLD!$"。如图2-2-5所示。

图1-9 根据ES值查看附加数据段

(6) 可以更改程序中声明的源数据区数据,考察程序的正确性。

实验二数码转换程序实验

1、实验目的

掌握不同进制数及编码相互转换的程序设计方法。

2、实验设备

PC微机一台、TD-PIT++实验系统一套。

3、实验内容及说明

计算机输入设备输入的信息一般是由ASCII码或BCD码表示的数据或字符,CPU一般均用二进制数进行计算或其他信息处理,处理结果的输出又必须依照外设的要求变为ASCII码、BCD码或七段显示码等。因此,在应用软件中,各类数制的转换和代码的转换是必不可少的。计算机与外设间的数码对应关系如表2-1所示。数码转换关系如图2-1所示。

图2-1 计算机与外设间的数码转换关系

表2-1 数码转换对应关系

七段码 十六进制

BCD 码 二进制机器码 ASCII 码共阳 共阴 0 0000 0000 30H 40H 3FH 1 0001 0001 31H 79H 06H 2 0010 0010 32H 24H 5BH 3 0011 0011 33H 30H 4FH 4 0100 0100 34H 19H 66H 5 0101 0101 35H 12H 6DH 6 0110 0110 36H 02H 7DH 7 0111 0111 37H 78H 07H 8 1000 1000 38H 00H 7FH 9 1001 1001 39H 18H 67H A 1010 41H 08H 77H B 1011 42H 03H 7CH C 1100 43H 46H 39H D 1101 44H 21H 5EH E 1110 45H 06H 79H F

1111

46H

0EH

71H

1.将ASCII码表示的十进制数转换为二进制数

十进制数可以表示为:D n ×10n +D n-1×10n-1 +…+D 0×100=D i ×10i 其中D i 代表十进制数1、2、3…9、0。

上式可以转换为:ΣD i ×10i =((…(D n ×10+D n-1)×10)+D n-2)×10+…+D 1)×10+D 0 由上式可归纳十进制数转换为二进制的方法:从十进制数的最高位D n 开始作乘10

加次位的操作,依次类推,则可求出二进制数结果。

本实验要求将缓冲区中的一个五位十进制数00012的ASCII码转换成二进制数,并将转换结果按位显示在屏幕上。

2.将十进制数的ASCII码转换为BCD码

本实验要求将键盘输入的一个五位十进制数54321的ASCⅡ码存放在数据区中,转换为BCD 码后,并将转换结果按位分别显示于屏幕上 。若输入的不是十进制数的ASCⅡ码,则输出“FF”。提示:一字节ASCⅡ码取其低四位即变为BCD码。3.将十六进制数的ASCII码转换为十进制数

十六位二进制数的值域为0-65535,最大可转换为五位十进制数。五位十进制数可表示为:

N D=D4×104+D3×103+D2×102+D1×10+D0

因此,将十六位二进制数转换为五位ASCⅡ码表示的十进制数,就是求D1-D4,并将它们转化为ASCⅡ码。

本实验要求将缓冲区中存放的000CH的ASCII码转换成十进制数,并将转换结果显示在屏幕上。

4.BCD码转换为二进制码

本实验要求将四个二位十进制数的BCD码存放在某一内存单元中,转换出的二进制数码存入其后的内存单元中,转换结束,送屏幕显示。

4、实验步骤

(1) 运行Tdpit集成操作软件,按照各实验要求分别编写实验程序。

(2) 对实验程序进行编译、链接。

(3) 使用运行功能执行程序,观察运行结果。

(4) 使用调试功能调试程序,观察在调试过程中,程序指令执行之后各寄存器及数据区的内容。

(5) 更改数据区中的数据,反复测试,验证程序功能。

实验三运算类指令编程实验

1、实验目的

1)掌握运算类指令编程及调试方法。

2)掌握运算类指令对各状态标志位的影响及测试方法。

2、实验设备

PC微机一台、TD-PIT++实验系统一套。

3、实验内容及说明

80x86指令系统提供了实现加、减、乘、除运算的基本指令,可对表3-1所示的数据类型进行算术运算。

表3-1 数据类型算术运算表

二进制 BCD码

数制

带符号 无符号 组合 非组合 运算符 + 、-、÷、× + 、- + 、-、÷、×

操作数 字节、字、多精度 字节(二位数字)字节(一位数字) 1.二进制双精度加法运算

本实验要求计算X+Y=Z,将结果Z输出到屏幕,其中X=001565A0H,Y=0021B79EH。

实验利用累加器AX,先求低十六位和,并存入低址存储单元,后求高16位和,再存入高址存储单元。由于低位和可能向高位有进位,因而高位字相加语句需用ADC指令,则低位相加有进位时,CF=1,高位字相加时,同时加上CF中的1。在80386以上微机中可以直接使用32位寄存器和32位加法指令完成本实验的功能。 2.十进制数的BCD码减法运算

本实验要求计算X-Y=Z,其中,X、Y、Z为BCD码,其中X=0400H,Y=0102H。3.乘法运算

本实验要求实现十进制数的乘法,被乘数和乘数均以BCD码形式存放于内存中,被乘数为54320H,乘数为3H,运算结束后,将乘积在屏幕上显示。 4.用减奇数开平方运算

80x86指令系统中有乘除法指令但没有开平方指令,因此,开平方运算是通过程序来实现的。用减奇数法可求得近似平方根,获得平方根的整数部分。我们知道,N个自然数中的奇数之和等于N2,即:

1+3+5=9=32

1+3+5+7=16=42

1+3+5+7+9+11+13+15=64=82

若要做S的开方运算,那麽就可以从S中逐次减去自然数中的奇数1,3,5,7…,

一直进行到相减数为0或不够减下一个自然数的奇数为止,然后统计减去自然数的奇数个数,它就是S的近似平方根。本实验要求利用减奇法计算0040H的开平方值,并将运算结果显示在屏幕上。

4、实验步骤

(1) 运行Tdpit集成操作软件,按各实验要求编写实验程序。

(2) 分别对实验程序进行编译、链接。

(3) 使用运行功能运行程序,观察运行结果。

(4) 使用调试功能调试程序,观察在调试过程中,各运算指令执行后,各寄存器、标志位及数据区内容的变化。

(5) 更改数据区中的数据,反复测试,验证程序功能。

第二章 硬件实验部分

接口技术是把由处理器、存储器等组成的基本系统与外部设备连接起来,从而实现CPU与外部设备通信的一门技术,任何微机应用开发工作都离不开接口的设计、选用及连接。微机应用系统需要设计的硬件是一些接口电路,所要编写的软件是控制这些接口电路按要求工作的驱动程序。因此,接口技术是微机应用中必不可少的基本技能。

实验四 8/32位I/O接口实验

1、实验目的

1)掌握基本I/O接口电路的设计方法。

2)熟悉I/O操作指令及8 /32位I/O端口的操作方法。

3)了解LED点阵的基本结构。

4)学习LED点阵扫描显示程序的设计方法。

2、实验设备

PC微机一台、TD-PIT++实验系统一套。

3、实验内容

(1) 利用8位I/O接口,实现微机对外部输入数据的读取和对输出数据的输出。用拨动开关和数据灯作为输入和输出显示设备,将读到开关的数据显示在数据灯上。

(2) 利用32位的I/O接口,按照32位的I/O操作方式,操作点阵LED显示单元的16行×16列点阵。

(3) 使用32位I/O接口单元的32位输出O0~O31控制点阵LED单元R0~R15和L0~L15。编写程序,在16×16点阵上显示汉字(学生姓名)。

4、实验原理

1.输入接口设计

输入接口一般用三态缓冲器实现,外部设备输入数据通过三态缓冲器,通过数据总线传送给微机系统。74LS245是一种8通道双向的三态缓冲器,其管脚结构如图4-1所示。DIR引脚控制缓冲器数据方向,DIR为1表示数据由A[7:0]至B[7:0],

DIR为0表示数据由B[7:0]至A[7:0]。G引脚为缓冲器的片选信号,低电平有效。

图4-1 74LS245双向三态缓冲器管脚图

2.输出接口设计

输出接口一般用锁存器实现,从总线送出的数据可以暂存在锁存器中。74LS374/74LS574是一种8通道上沿触发锁存器。74LS574管脚结构如图4-2所示。D[7:0]为输入数据线,Q[7:0]为输出数据线。CLK引脚为锁存控制信号,上升沿有效。当上升沿到时,输出数据线锁存输入数据线上的数据。OE引脚为锁存器的片选信号,低电平有效。

图4-2 74LS574上沿触发锁存器管脚图

3.8位I/O接口设计

用一组74LS245和74LS374/574可以构成一个8位的I/O接口电路,既实现数据的输入又实现数据的输出,输入输出可以占用同一个端口。是输入还是输出用总线读写信号来区分。总线读信号IOR和片选信号CS相“或”来控制输入接口74LS245的使能信号G。总线写信号IOW和片选信号CS相“或”来控制输出接口74LS574的锁存信号CLK。实验系统中基本I/O接口单元就实现了这种的电路,8位I/O电路连接如图4-3所示。

IN AL,DX ;将IA[7:0]连接设备的8位数据通过数据总线D[7:0]输入到AL。

OUT DX,AL ;将AL中的数据通过数据总线D[7:0]输出到OA[7:0]连接的设备。

图4-3 用74LS245和74LS574组成的8位I/O接口电路

4.32位I/O接口设计

用四组8位的I/O接口电路可以构成一个32位的I/O接口电路,可以一次进行32位数据宽度的I/O操作。I/O读、写、片选信号对输入输出的控制基本和8位I/O 接口电路相同,但是,对于32位数据总线,每个字节都对应着一位字节使能信号,共有4位字节使能信号BE0~BE3,因此每个8位I/O接口电路是否有效要受BE[3:0]的控制。

IN EAX,DX ;将I[31:0]连接设备的32位数据通过数据总线D[31:0]输入到EAX。

OUT DX,EAX ;将EAX中的数据通过数据总线D[31:0]输出到O[31:0]连接的设备。5.16×16点阵工作原理

8×8点阵LED相当于8×8个发光管组成的阵列,对于共阳极LED来说,其中每一行共用一个阳极(行控制),每一列共用一个阴极(列控制)。行控制和列控制满足正确的电平就可使相应行列的发光管点亮。实验平台上点阵LED的管脚及相应的行、列控制位如图4-4所示。

图4-4 点阵LED管脚图

共阳极和共阴极LED的内部结构分别如图4-5和4-6所示。

图4-5 共阳极LED内部结构图图4-6 共阴极LED内部结构图

4、实验说明及步骤

1.8位I/O操作实验

本实验实现的是将开关K[7:0]的数据通过输入数据通道读入CPU的寄存器,然后再通过输出数据通道将该数据输出到数据灯显示,该程序循环运行,直到按动PC 键盘上任意按键再退出程序。实验程序流程如图4-7所示。参考实验接线如图5-8所示。

实验步骤如下:

(1)按图4-8连接实验线路图。

(2)运行Tdpit集成操作软件,根据实验内容,编写实验程序,对实验程序进行编译、链接。

(3)运行程序,拨动开关,观看数据灯显示是否正确。

图4-7 8位I/O接口设计实验参考流程图图4-8 8位I/O接口设计实验参考接线图2.32位I/O操作实验

本实验利用点阵LED显示单元的16×16点阵,将16行控制和16列控制合成一个32位端口来操作(列控制连接到发光管的阳极,行控制连接发光管的阴极,列为“1”,相应的行为“0”,则对应的一列发光管点亮)。用32位I/O接口单元中的32位输出O[31:0]的高16位控制16列,低16位控制16行,即一次I/O操作就可完成LED点阵的一次显示。实验要求控制点阵循环逐行显示,直到按动PC键盘上任意按键再停止程序退出。 实验步骤如下:

(1)实验接线

图如图4-9所示,按

图连接实验线路图。

(2)运行Tdpit

集成操作软件,根据

实验内容,编写实验

程序,对实验程序进

行编译、链接。

(3)运行程序,

观看LED点阵显示

是否正确。

3.点阵显示实验

利用取字模软件得到汉字字符数组,设计程序,在点阵上显示学生姓名。实验参考接线如图4-9所示。实验步骤如下:

(1) 按图4-9连接实验线路图。

(2) 运行Tdpit集成操作软件,根据实验要求编写实验程序,编译、链接。

(3) 运行程序,观察点阵的显示,验证程序功能。

使用点阵显示符号时,必须首先得到显示符号的编码,这可以根据需要通过不同的工具获得。

实验五 8255并口控制器应用实验

1、实验目的

1)学习并掌握8255的工作方式及其应用。

2)掌握8255典型应用电路的接法。

2、实验设备

PC微机一台、TD-PIT++实验系统一套。

3、实验内容

1. 基本输入输出实验。

编写程序,使8255的A口为输出,B口为输入,完成拨动开关到数据灯的数据传输。要求只要开关拨动,数据灯的显示就发生相应改变。

2. 流水灯显示实验。

编写程序,使8255的A口和B口均为输出,数据灯D7~D0由左向右,每次仅亮一个灯,循环显示,D15~D8与D7~D0正相反,由右向左,每次仅点亮一个灯,循环显示。

3.计数器显示实验。

编写程序,使8255的A口和B口均为输出,数据灯D15~D0显示二进制形式的计数结果。

4、实验原理

8255可编程外围接口芯片是Intel公司生产的通用并行I/O接口芯片,它具有A、B、C三个并行接口,用+5V单电源供电,能在以下三种方式下工作:方式0--基本输入/输出方式、方式1--选通输入/输出方式、方式2--双向选通工作方式。8255的内部结构及引脚如图5-1所示,8255工作方式控制字和C口按位置位/复位控制字格式如图5-2所示。

图5-1 8255内部结构及外部引脚图

图5-2 8255控制字格式

8255实验单元电路图如图5-3所示:

图5-3 8255实验单元电路图

5、实验步骤

1. 基本输入输出实验

本实验使8255端口A工作在方式0并作为输出口,端口B工作在方式0并作为输入口。用一组开关信号接入端口B,端口A输出线接至一组数据灯上,然后通过对8255芯片编程来实现输入输出功能。具体实验步骤如下述:

(1)实验接线图如图5-4所示,按图连接实验线路图。

(2)运行Tdpit集成操作软件,根据实验内容,编写实验程序,编译、链接。

(3)运行程序,改变拨动开关,同时观察LED显示,验证程序功能。

图5-4 8255基本输入输出实验接线图

2. 流水灯显示实验

使8255的A口和B口均为输出,数据灯D7~D0由左向右,每次仅亮一个灯,循环显示,D15~D8与D7~D0正相反,由右向左,每次仅点亮一个灯,循环显示。

实验步骤如下所述:

(1)实验接线图如图5-5所示,按图连接实验线路图。

(2)运行Tdpit集成操作软件,根据实验内容,编写实验程序,编译、链接。

(3)运行程序,观察LED灯的显示,验证程序功能。

(4)自己改变流水灯的方式,编写程序。

图5-5 8255流水灯实验接线图

3. 计数器显示实验

使8255的A口和B口均为输出,数据灯D15~D0显示二进制形式的计数结果。实验步骤如下所述:

(1)实验接线图如图5-5所示,按图连接实验线路图。

(2)运行Tdpit集成操作软件,根据实验内容,编写实验程序,编译、链接。

(3)运行程序,观察LED灯的显示,验证程序功能。

实验六 8259中断控制器实验

1、实验目的

1. 掌握8259中断控制器的工作原理。

2. 掌握8259中断控制器的应用编程方法。

2、实验设备

PC微机一台、TD-PIT++实验系统一套。

3、实验内容

(1) 利用实验平台上的8259控制器,通过查询中断源方法,设计一个查询中断应用实验,处理IR0和IR1发出的中断请求。

(2) 利用上述查询中断实验,实现点阵汉字(学生姓名)的滚动显示。要求通过IR0实现点阵的左右滚动显示和停止,通过IR1实验点阵汉字的上下滚动显示和停止。

4、实验原理

1. 中断控制器8259简介

中断控制器8259是Intel公司专为控制优先级中断而设计开发的芯片。它将中断源优先级排队、辨别中断源以及提供中断矢量的电路集于一片中,因此无需附加任何电路,只需对8259进行编程,就可以管理8级中断,并选择优先模式和中断请求方式,即中断结构可以由用户编程来设定。同时,在不需增加其他电路的情况下,通过多片8259的级连,能构成多达64级的矢量中断系统。它的管理功能包括: 1)记录各级中断源请求,

2)判别优先级,确定是否响应和响应哪一级中断,

3)响应中断时,向CPU传送中断类型号。

8259的内部结构和引脚如图6-1所示。

图6-1 8259内部结构和引脚图

8259的命令共有7个,一类是初始化命令字,另一类是操作命令。8259的编程就是根据应用需要将初始化命令字ICW1-ICW4和操作命令字OCW1-OCW3分别写入初始化命令寄存器组和操作命令寄存器组。ICW1-ICW4各命令字格式如图6-2所示,OCW1-OCW3各命令字格式如图6-3所示,其中OCW1用于设置中断屏蔽操作字,OCW2用于设置优先级循环方式和中断结束方式的操作命令字,OCW3用于设置和撤销特殊屏蔽方式、设置中断查询方式以及设置对8259内部寄存器的读出命令。

微机接口技术实验指导书

《微机接口技术》实验指导书 主编李建波 主审黄忠宇、苏显 广东机电职业技术学院 计算机与信息工程系

前言 本实验指导书适用于机电一体化专业,实验时间10学时,5次上机时间。 主要学习内容为80X86语言实验环境配置、汇编源语言格式、输出字符、循环结构、子程序调用,以及加减乘除等指令操作。 学习结束后,要求学生能够独立编写出综合加减乘除等指令,以及循环结构、子程序调用等程序控制程序。

目录 实验项目一熟悉微机实验环境 (4) 实验项目二掌握中断方式显示数字或字符 (6) 实验项目三掌握汇编语言的寻址方式 (8) 实验项目四掌握循环指令的用法 (10) 实验项目五掌握子程序的用法 (12)

实验报告一熟悉微机实验环境 1、实验目的 1、熟悉微机实验环境安装 2、熟悉微机实验环境配置 3、通过练习加法,熟悉程序格式 4、单步运行程序,通过观察窗口观察指令对寄存器中数据的影响 2、实验步骤 1)软件安装 (拷贝三个文件夹) 复制:桌面| 网上邻居\ Techer\ c盘\ wave、comp86和in8088三个文件夹拷贝:将三个文件夹到自己计算机上C:盘根目录下 在资源管理器下可以看到:C:\wave,C:\comp86,C:\in8088三个文件夹2)通过资源管理器,进入汇编环境 C:\wave\Bin\wave.exe ,双击wave.exe 3)打开文件我的电脑 \ c: \ wave \ bin \ wave.exe环境配置(如下图) 选中菜单栏中“仿真器”——“仿真器设置”选项(如下图) a、选中“语言”一栏,编译器路径中填写: C:\COMP86\(如下图) b、选中“仿真器”一栏, 选择仿真器—————G6W(如下图) 选择仿真头—————8088/8086实验(如下图) 选择CPU —————8088/8086(如下图) 使用软件模拟器:打√表示软件实验,硬件实验则无√ 4)新建文件 a、选中菜单栏\文件\新建文件,建立空白文件 b、编辑文件,输入以下代码

电子技术基础实验指导书

《电子技术基础》实验指导书 电子技术课组编 信息与通信工程学院

实验一常用电子仪器的使用 一、实验类型-操作型 二、实验目的 1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 三、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1-1 模拟电子电路中常用电子仪器布局图 1、示波器 示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重指出下列几点: 1)、寻找扫描光迹 将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。) 2)、双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单踪显示方式和“交替”“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较低时使用。 3)、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4)、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。 有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被

微机原理实验指导书

微机原理及应用实验指导书 南京理工大学机械工程学院 2011年10月10日

实验1 基本操作实验 1. 实验目的 (1) 掌握TD-PITC 80X86 微机原理及接口技术教学实验系统的操作,熟悉Wmd86联机集成开发调试软件的操作环境。 (2) 掌握使用运算类指令编程及调试方法; (3) 掌握运算类指令对各状态标志位的影响及其测试方法; (4) 学习使用软件监视变量的方法。 2. 实验设备 PC机一台,TD-PITC 实验装置一套。 3. 实验内容及步骤 通过对样例程序的操作,学会在TD-PITC境下,如何输入汇编语言程序,如何进行汇编语言源程序的汇编、连接、下载和运行;在调试程序的学习过程中,应学会: ●如何设置断点; ●如何单步运行程序; ●如何连续运行程序; ●怎样查看寄存器的内容; ●怎样修改寄存器的内容; ●怎样查看存储器的内容; ●怎样修改存储器的内容。 3.1 实验内容1――――BCD码转换为二进制数 实验内容: 将四个二位十进制数的BCD 码存放于3500H 起始的内存单元中,将转换的二进制数存入3510H 起始的内存单元中,自行绘制流程图并编写程序。 参考实验程序清单如下: SSTACK SEGMENT STACK DW 64 DUP(?) SSTACK ENDS CODE SEGMENT ASSUME CS:CODE START: XOR AX, AX MOV CX, 0004H MOV SI, 3500H MOV DI, 3510H A1: MOV AL, [SI] ADD AL, AL MOV BL, AL

ADD AL, AL ADD AL, AL ADD AL, BL INC SI ADD AL, [SI] MOV [DI], AL INC SI INC DI LOOP A1 A2: JMP A2 CODE ENDS END START 实验步骤: 1)运行Wmd86 软件,进入Wmd86 集成开发环境。 2)根据程序设计使用语言的不同,通过在“设置”下拉列表来选择需要使用的语言,如图1-1所示。语言选择后,下次再启动软件,语言环境保持这次的修改不变。在这里,我们选择汇编语言。 图1-1 语言环境选择界面 3)语言选择后,点击新建或按Ctrl+N 组合键来新建一个文档,如图1-2所示。默认文件名为Wmd861。 图1-2 新建文件界面 4)编写实验程序,如图1-3所示,并保存,此时系统会提示输入新的文件名,输完后点击保存。

单片机原理及其接口技术实验报告

单片机原理及其接口技术实验指导书 实验1 Keil C51的使用(汇编语言) 一.实验目的: 初步掌握Keil C51(汇编语言)和ZY15MCU12BD型综合单片机实验箱的操作和使用,能够输入和运行简单的程序。 二.实验设备: ZY15MCU12BD型综合单片机实验箱一台、具有一个RS232串行口并安装Keil C51的计算机一台。 三.实验原理及环境: 在计算机上已安装Keil C51软件。这个软件既可以与硬件(ZY15MCU12BD型综合单片机实验箱)连接,在硬件(单片机)上运行程序;也可以不与硬件连接,仅在计算机上以虚拟仿真的方法运行程序。如果程序有对硬件的驱动,就需要与硬件连接;如果没有硬件动作,仅有软件操作,就可以使用虚拟仿真。 四:实验内容: 1.掌握软件的开发过程: 1)建立一个工程项目选择芯片确定选项。 2)加入C 源文件或汇编源文件。 3)用项目管理器生成各种应用文件。 4)检查并修改源文件中的错误。 5)编译连接通过后进行软件模拟仿真。 6)编译连接通过后进行硬件仿真。 2.按以上步骤实现在P1.0输出一个频率为1Hz的方波。 3.在2的基础上,实现同时在P1.0和P1.1上各输出一个频率同为1Hz但电平状态相反的方波。 五:程序清单: ORG 0000H AGAIN:CPL P1.0 MOV R0,#10 ;延时0.5秒 LOOP1:MOV R1,#100 LOOP2:MOV R2,#250 DJNZ R2,$ DJNZ R1,LOOP2 DJNZ R0,LOOP1 SJMP AGAIN END 六:实验步骤: 1.建立一个工程项目选择芯片确定选项 如图1-1所示:①Project→②New Project→③输入工程名test→④保存工程文件(鼠标点击保存按钮)

微机原理实验指导书

微型计算机原理与应用实验指导书 上海大学通信学院

2010 年4 月 PC微机原理实验一 一、目的:掌握PC机DEBUG调试程序有关命令的操作及8086各类指令的 功能。 要求:在PC机上完成下列程序的调试运行,并写出运行结果。二、1.DEBUG的基本操作:(详细内容请参阅教材“程序的调试,P173”和“附录F 调试程序DEUBG的使用,P499”) (1)从WINDOWS进入DOS之后,输入命令启动DEBUG: C:>DEBUG 回车 (2)输入R命令,查看和记录CPU各个寄存器的内容: -R回车 看到什么 (3)输入A命令,汇编下面的字符“WINDOWS”显示程序: -A100 ;从偏移地址是0100H处开始写指令 MOV AH,2 MOV DL, 57 ;57H 是“W ”的ASCII码 INT 21 ;INT 21是DOS 功能调用,AH=2代表2号功能 ;这3句合起来的功能是:显示DL中的字符 MOV DL, 49 INT 2 1 MOV DL, 4E INT 21 MOV DL, 44 INT 2 1 MOV DL, 4F INT 2 1 MOV DL, 57 INT 2 1 MOV DL, 53 INT 2 1 INT 3 ;功能是产生一个断点,不要省略 (4)输入U 命令反汇编上面的程序: -U 100 问:这里100代表什么 (5)输入G命令连续运行上面的程序,记录运行结果:

-G=100 ,57,53依次分别改为574F4E57 (6)输入E命令把上面程序中的数据,49,,44,,45:,,45,4C43,4F4D,-E 103 回车(以下同) -E 107 10B-E -E 10F 113-E 117-E 11B-E (7)输入D命令查看程序机器码的存放情况: -D 100 11E ;看从100开始到11E的机器码 (8)输入G命令再连续运行程序,并记录运行结果: -G=100 (9)依次输入下面的T命令(单步执行)和G命令(设置断点并启动运行),记录各 命令的运行结果: -T=100 回车 -G=100 106 回车 -G=100 10A 回车 -G=100 10E 回车 -G=100 11E 回车 注意: 下面第2—第8段程序是7个实用的小程序,若不小心打错指令,可以这样修改: 例如:CS:0100 B300 MOV BL,0 0102 53 PUSH BX 0103 B220 LP1: MOV DL,20 要修改“PUSH BX”,因为这条指令的IP是0102,所以按以下操作: -A 0102 回车,然后把正确的指令打入,“-A ”是汇编指令。 如果要查看0100以后的指令及相应的机器代码,可以 -U 0100 回车,能够看到CS:0100开始的指令及相应的机器代码。“-U ”是反汇编 若发现有误用,用“-A XXXX 回车”,重打这条指令即可 2.编制一个能在CRT上连续显示A,B,C,D······Z大写英文字符的源程序,并在源程序下汇编调试机运行。

电子技术实验指导书

实验一常用电子仪器的使用方法 一、实验目的 了解示波器、音频信号发生器、交流数字毫伏表、直流稳压电源、数字万用电表的使用方法。二实验学时 2 学时 三、实验仪器及实验设备 1、GOS-620 系列示波器 2、YDS996A函数信号发生器 3、数字交流毫伏表 4、直流稳压电源 5、数字万用电表 四、实验仪器简介 1、示波器 阴极射线示波器(简称示波器)是利用阴极射线示波管将电信号转换成肉眼能直接观察的随时间变化的图像的电子仪器。示波器通常由垂直系统、水平系统和示波管电路等部分组成。垂直系统将被测信号放大后送到示波管的垂直偏转板,使光点在垂直方向上随被测信号的幅度变化而移动;水平系统用作产生时基信号的锯齿波,经水平放大器放大后送至示波管水平偏转板,使光点沿水平方向匀速移动。这样就能在示波管上显示被测信号的波形。 2、YDS996A函数信号发生器通常也叫信号发生器。它通常是指频率从0.6Hz至1MHz的正弦波、方波、三角波、脉冲波、锯齿波,具有直流电平调节、占空比调节,其频率可以数字直接显示。适用于音频、机械、化工、电工、电子、医学、土木建筑等各个领域的科研单位、工厂、学校、实验室等。 3、交流数字毫伏表 该表适用于测量正弦波电压的有效值。它的电路结构一般包括放大器、衰减器(分压器)、检波器、指示器(表头)及电源等几个部分。该表的优点是输入阻抗高、量程广、频率范围宽、过载能力强等。该表可用来对无线电接收机、放大器和其它电子设备的电路进行测量。 4、直流稳压电源: 它是一种通用电源设备。它为各种电子设备提供所需要的稳定的直流电压或电流当电网电压、负载、环境等在一定范围内变化时,稳压电源输出的电压或电流维持相对稳定。这样可以使电子设备或电路的性能稳定不变。直流电源通常由变压、整流、滤波、调整控制四部分组成。有些电源还具有过压、过流等保护电路,以防止工作失常时损坏器件。 6、计频器 GFC-8010H是一台高输入灵敏度20mVrms,测量范围0.1Hz至120MHz的综合计频器,具备简洁、高性能、高分辨率和高稳定性的特点。 5、仪器与实验电路的相互关系及主要用途:

微机原理与接口技术实验指导书13机械、13机械师

《微机原理与接口技术》实验指导书 喻菲菲编 班级:_______ 学号:_______ 姓名:_______ 广东技术师范学院机电学院

目录 MASM611安装指南 ................................................................................. 错误!未定义书签。实验一:汇编语言上机环境及基本操作................................................. 错误!未定义书签。实验二:输入输出程序的编制 ................................................................ 错误!未定义书签。实验三:分支结构程序设计 .................................................................... 错误!未定义书签。实验四:循环结构程序设计 .................................................................... 错误!未定义书签。

课程类型:学科专业基础课课程代码:09401309 课程总学时: 51 实验课程性质:非独立设课课程实验学时: 6 综合性、设计性实验项目数: 3 个 6 学时适用专业:机械设计制 造及其自动化 开课时间:二年级第二学期开课单位:机电学院 撰写人:喻菲菲审定人:周莉 MASM611安装指南 (以下适用于XP系统,win7可以按照,但是无法连接link,不兼容) 双击文件夹MASM611full下的安装文件setup.exe,出现下面的界面 按ENTER回车键继续 按向下的箭头键选中第二项按默认方式安装,按ENTER回车键继续 按向下的箭头键选中第二项安装在D盘,按ENTER回车键继续 按向下的箭头键选中第二项Install for DOS/Windows & NT,按ENTER回车键继续 按向下的箭头键选中第二项MS-DOS/Microsoft Windows,按ENTER回车键继续 选中默认项无变化,按ENTER回车键继续 已安装成功,按ENTER回车键继续 按ENTER回车键继续 按ENTER回车键继续 按ENTER回车键继续 按ENTER回车键继续 按向下的箭头键选中最后一项退出安装,按ENTER回车键 点击开始菜单中的运行,键入cmd,点确定,进入DOS界面。 输入cd\ 返回到根目录,输入D: 进入D盘 输入cd masm611进入D:\masm611文件夹,再输入cd bin进入D:\masm611\bin文件夹 输入edit 01.asm进入程序编辑界面 实验一:汇编语言上机环境及基本操作 一、实验目的及要求 1.学习及掌握汇编语言源程序的书写格式和要求,明确程序中各段的功能和相互 之间的关系。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

微机原理及应用实验

实验报告1 实验项目名称:I/O地址译码;简单并行接口同组人: 实验时间:实验室:微机原理实验室K2-407 指导教师:胡蔷 一、实验目的: 掌握I/O地址译码电路的工作原理,简单并行接口的工作原理及使用方法。 二、预备知识: 输入、输出接口的基本概念,接口芯片的(端口)地址分配原则,了解译码器工作原理及相应逻辑表达式,熟悉所用集成电路的引线位置及各引线用途;74LS245、74LS373的特性及作用。 三、实验内容245输入373输出 使用Protues仿真软件制作如下电路图,使用EMU8086编译软件编译源程序,生成可执行文件(nn . exe),在Protues仿真软件中加载程序并运行,分析结果。 编程实现:读8个开关的状态,根据输入信号控制8个发光二极管的亮灭。 图1-1 245输入373输出 四、程序清单

五、实验结果 六、结果分析 七、思考题: 1、如果用74LS373作输入接口,是否可行?说明原因;用74LS245作输出接口,是否可行?说明原因。

实验报告2 实验项目名称:可编程定时器/计数器;可编程并行接口同组人: 实验时间:实验室:微机原理实验室K2-407 指导教师:胡蔷 一、实验目的: 掌握8253的基本工作原理和编程应用方法。掌握8255的工作原理及使用方法。 二、预备知识: 8253的结构、引脚、控制字,工作方式及各种方式的初始化编程及应用。 8255的内部结构、引脚、编程控制字,工作方式0、1、2的区别,各种方式的初始化编程及应用。 三、实验内容: ⑴8253输出方波 利用8253的通道0和通道1,设计产生频率为1Hz的方波。设通道0的输入时钟频率为2MHz,8253的端口地址为40H,42H,44H,46H。通道0的输入时钟周期0.5μs,其最大定时时间为:0.5μs×65536 = 32.768ms,要产生频率为1Hz(周期= 1s)的方波,利用;一个通道无法实现。可用多个通道级连的方法,将通道0的输出OUT0作通道1的输入时钟信号。设通道0工作在方式2(频率发生器),输出脉冲周期= 10 ms,则通道0的计数值为20000(16位二进制)。周期为4 ms的脉冲作通道1的输入时钟,要求输出端OUT1输出方波且周期为1s,则通道1工作在方式3(方波发生器),计数值为100(8位;二进制)。硬件连接如图2-1。

微机原理及接口技术实验指导书

微机原理及接口技术实验指导书 目录 第一章实验平台的硬件............................................................................................... I 第一节硬件结构及其特点 (1) 第二节硬件电路原理与资源配置 (2) 第二章实验平台的软件 (11) 第一节实验程序开发集成环境 (11) 第二节实验程序开发工具 (12) 第三节硬件故障诊断软件 (12) 第四节接口演示实验程序 (13) 第五节硬件系统的安装与使用 (14) 第三章DOS环境下的汇编语言上机过程 (15) 第四章基本接口实验 (19) 第一节并行接口实验(8255芯片实验) (19) 实验一步进电机控制接口实验 (19) 实验二声-光报警器接口实验 (23) 第二节定时/计数实验(8253芯片实验) (26) 实验三音乐发生器接口实验 (27) 实验四波特率时钟发生器实验 (30) 第三节串行通信接口实验(8251芯片实验) (33) 实验五RS-232标准全双工查询方式异步串行通信实验 (33) 实验六RS-485标准半双工中断方式异步串行通信实验 (36) 第四节A/D,D/A转换器接口实验 (36) 实验七A/D转换器接口实验 (38) 实验八D/A转换器接口实验 (41) 实验九8259应用实验—中断方式控制彩灯闪亮 (42) 第五节DMA接口实验 (46) 实验十8237A DMAC应用实验 (47)

第一章实验平台的硬件 第一节硬件结构及其特点 一、硬件结构 图1.1.1显示了MFID多功能接口实验平台(简称MFID)在PCI多总线微机系统中的位置。MFID由MFID PCI驱动板、平台板实验区和可以添加的面包板实验区三大部分构成。 图1.1.1 MFID在PCI总线中的位置 二、硬件特点 MFID总的特征有两个,其一,适用于PCI总线;其二,采用模块化开放式结构,整个平台的硬件资源全部向用户开放。除了可以作为多门微机课程的实验平台外,还是基于微机应用系统的开发平台。 1.MFID PCI驱动板特点 ?兼容PCI规范2.1版/2.2版 ?在Local Bus侧提供了16/32位地址线,32位数据线以及存储器读写、I/O读写等 控制信号线 ?在板CPLD使系统的逻辑更为灵活 ?在板提供32Kb×8 SRAM ?提供PCI总线模式下Local Bus侧多路中断源的识别方法 2.MFID平台板特点 ?实验内容丰富,提供并、串、ADA、定时计数和SRAM五大类接口 ?先进的短路隔离保护系统,确保实验系统安全可靠和“零等待恢复”

微机原理与接口技术综合性实验指导书

微机原理与接口技术实验 电子发声系统设计 【实验目的】 (1). 掌握8254接口电路的连接方法,懂得分频数据对发生频率的影响。 (2). 掌握应用汇编语言控制8254使扬声器发声的程序设计的方法步骤。【实验仪器】 PC微机一台、TD-PIT+实验系统一套。 【实验内容】 连接电子发声电路,根据实验提供的音乐频率表和时间表,编程控制8254,使其输出并驱动扬声器发出相应的乐曲。编程演奏《友谊地久天长》。 (1) 确认实验系统与PC机的连线已接通。 (2) 设计连接实验电路。 (3)运用PIT端口资源工具(CHECK程序),查看I/O片选端口始地址。 (4)编程并编译、链接。 运行程序,听扬声器发音是否正确。 【程序的数据处理】 DATA SEGMENT FREQ_LIST DW 371,495,495,495,624,556,495,556,624 ;频率表 DW 495,495,624,742,833,833,833,742,624 DW 624,495,556,495,556,624,495,416,416,371 DW 495,833,742,624,624,495,556,495,556,833 DW 742,624,624,742,833,990,742,624,624,495 DW 556,495,556,624,495,416,416,371,495,0 TIME_LIST DB 4, 6, 2, 4, 4, 6, 2, 4, 4 ;时间表 DB 6, 2, 4, 4, 12, 1, 3, 6, 2 DB 4, 4, 6, 2, 4, 4, 6, 2, 4, 4 DB 12, 4, 6, 2, 4, 4, 6, 2, 4, 4 DB 6, 2, 4, 4, 12, 4, 6, 2, 4, 4 DB 6, 2, 4, 4, 6, 2, 4, 4, 12 DATA ENDS 【上机实验报告】 ⒈上机实验所用的设备(型号或规格),实验环境条件。 ⒉简述实验原理,说明操作过程,及数据要求,给出程序的音频及延时输入数据值。 ⒊你设计的电路图是什么。

80x86微机原理与接口技术实验指导书

80x86微机原理与接口技术 实验指导书 长安大学信息工程学院电子信息与通信工程实验室

第1章 80X86 微机原理及其程序设计实验 本章主要介绍汇编语言程序设计,通过实验来学习80X86 的指令系统、寻址方式以及程序的设计方法,同时掌握联机软件的使用。 1.1 系统认识实验 1.1.1 实验目的 掌握TD-PITE 80X86 微机原理及接口技术教学实验系统的操作,熟悉Wmd86联机集成开发调试软件的操作环境。 1.1.2 实验设备 PC机一台,TD-PITE 实验装置一套。 1.1.3 实验内容 编写实验程序,将00H~0FH 共16 个数写入内存3000H 开始的连续16 个存储单元中。 1.1.4 实验步骤 1. 运行Wmd86 软件,进入Wmd86 集成开发环境。 2. 根据程序设计使用语言的不同,通过在“设置”下拉列表来选择需要使用的语言,如图1-1-1所示。语言选择后,下次再启动软件,语言环境保持这次的修改不变。在这里,我们选择汇编语言。 图1-1-1 语言环境选择界面 3. 语言选择后,点击新建或按Ctrl+N 组合键来新建一个文档,如图1-1-2所示。默认文件名为Wmd861。 图1-1-2 新建文件界面 4. 编写实验程序,如图1-1-3所示,并保存,此时系统会提示输入新的文件名,输完后

点击保存。 图1-1-3 程序编辑界面 5. 点击,编译文件,若程序编译无误,则输出如图1-1-4所示的输出信息,然后再点击进行链接,链接无误输出如图1-1-5所示的输出信息。 图1-1-4 编译输出信息界面图1-1-5 链接输出信息界面 6. 连接PC与实验系统的通讯电缆,打开实验系统电源。 7. 编译、链接都正确并且上下位机通讯成功后,就可以下载程序,联机调试了。可以通过端口列表中的“端口测试”来检查通讯是否正常。点击下载程序。为编译、链 接、下载组合按钮,通过该按钮可以将编译、链接、下载一次完成。下载成功后,在输出区的结果窗中会显示“加载成功!”,表示程序已正确下载。起始运行语句下会有一条绿色的背景。如图1-1-6所示。

《微机原理与接口技术》课程实验指导书讲解

《微机原理与接口技术》课程实验指导书 一、课程的目的、任务 本课程是电子科学、测控技术专业学生在学习微机原理课程间的一门实践性技术基础课程,其目的在于通过实验使学生能更好地理解和掌握基本微机原理,培养学生理论联系实际的学风和科学态度,提高学生的硬件操作实验技能和分析处理实际问题的能力。为后续课程的学习打下基础。 二、课程的教学内容与要求 三.各实验具体要求 见P2 四、实验流程介绍 学生用户登陆进入实验系统的用户名为:学号(如D205001200XX),密码:netlab 详细操作步骤见P4 五、实验报告 请各指导老师登陆该实验系统了解具体实验方法,并指导学生完成实验。学生结束实验后应完成相应的实验报告并交给指导老师。其中实验报告的主要内容包括:实验目的,实验内容,实验记录数据,数据分析与处理等。

实验一基本操作 一、实验目的 1.熟悉Netlab MCS-51单片机实验系统的设置和使用方法 2.掌握基本MCS-51软件的编写、修改和编译的方法 3. 熟悉在Netlab MCS-51单片机实验系统环境下进行软件调试的方法 4. 学会检查、设置片内片外存储器和寄存器的内容,丰富软件调试的手段 二、实验内容 1. 输入参考程序,存储、编译该程序 2. 在进行实验前,设置程序中需要的初值 三、参考程序 从内部RAM 20H单元开始存放一组带符号数,字节个数存在1FH中。请统计出其中大于0、等于0和小于0的数的数目,并把统计结果分别放入one、two和three三单元。 ONE EQU30H TWO EQU31H THREE EQU32H ORG0000H AJMP MAIN ;MAIN starts from 0030H ORG0030H MAIN: MOV1FH ,#03H ;Init the data that you wants to process MOV20H ,#00H MOV21H ,#01H MOV22H ,#0FFH MOV R0,#20H ;Init the data that you wants to process MOV ONE,#00H MOV TWO,#00H MOV THREE,#00H LOOP: MOV A,@R0 JZ ZERO JB ACC.7 ,NEG INC ONE SJMP CHK ZERO: INC TWO SJMP CHK NEG: INC THREE CHK: INC R0 DJNZ1FH ,LOOP HERE: NOP END 实验二程序设计实验 一、实验目的 1. 进一步熟悉指令系统,提高编程能力 2. 掌握算术运算、数制转换、极值查找、检索、数据块排序和转移等程序的设计方法 3. 熟悉循环程序、子程序、分支程序的编写和调试方法 二、实验内容 用汇编语言在编译器中编写以下小程序,实现相应的功能。(参考程序在实验帮助中已给出) 1. 算术运算:三字节无符号数相加,被加数在内部RAM 20H~22H单元(低位在低地址),加数在内部RAM 2AH~2CH,结果存放在20H~23H单元。 2. 数制转换:编写将四位十六进制数转换为ASCII码的程序。假定十六进制数存放在内部RAM OP1单元开始的区域中,转换得到的ASCII码存放在内部RAM OP2单元开始的区域中。

微型计算机原理及应用实验指导

微型计算机原理及应用实验指导

实验一数据查找实验 一、实验目的 熟悉汇编语言编程。 二、实验内容 在7000H-700FH中查出有几个字节是零,统计“00”的个数再显示在数码管上。 三、实验程序框图 四、实验步骤 (1)在7000H-700FH单元中放入随机数,其中几个单元中输入零。 (2)用连续方式从起始地址0160H开始运行程序(输

入0160后按EXEC键)。 (3)观察显示器上的内容,应显示内容为“00”的单元的个数。 五、思考 修改程序,查找其它内容。 实验程序 1 ORG 0160H 2 FIND: MOV SP,#60H ;设栈指针 3 MOV R0,#10H ;查找16个字节 4 MOV R1,#00H 5 MOV DPTR,#7000H 6 FIND1: MOVX A,@DPTR 7 CJNE A,#00H,FIND2 ;取出的内容与00H相等吗? 8 INC R1 ;计数值加1,指针加1 9 FIND2: INC DPTR 10 DJNZ R0,FIND1 ;未完继续 11 MOV A,R1 12 MOV R0,#79H ;个数送显

示缓冲区 13 ANL A,#0FH 14 MOV @R0,A 15 INC R0 16 MOV A,R1 17 SWAP A 18 ANL A,#0FH 19 MOV @R0,A 20 INC R0 21 MOV A,#10H 22 MOV R4,#04H 23 FIND3: MOV @R0,A 24 INC R0 25 DJNZ R4,FIND3 26 FIND4: LCALL DISP ;循环调显示程序 27 SJMP FIND4 28 DISP: SETB 0D4H ;显示子程序 29 MOV R1,#7EH 30 MOV R2,#20H 31 MOV R3,#00H 32 DISP1: MOV DPTR,#0FF21H 33 MOV A,R2

15电力电子实验指导书

《电力电子技术》 实 验 指 导 书

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 二、实验所需挂件及附件 三、实验线路及原理 锯齿波同步移相触发电路的原理图参见挂件说明。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见挂件说明和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读电力电子技术教材中有关锯齿波同步移相触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为

220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽 度,并比较“3”点电压U 3和“6”点电压U 6 的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct 调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压 信号和“6”点U 6的波形,调节偏移电压U b (即调RP3电位器),使α=170°,其波 形如图2-1所示。 图2-1锯齿波同步移相触发电路 (3)调节U ct (即电位器RP2)使α=60°,观察并记录U 1 ~U 6 及输出“G、K” 脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。 (4)

微机原理实验指导书

微机原理实验指导书汕头大学机电系摘录

实验一P1口实验及延时子程序设计 1.实验目的 利用单片机的P1口作为I/O口进行实验验证,掌握利用P1口作为输入口和输出口的编程方法,理解并掌握延时子程序的设计方法。 2.实验设备及器件 IBM PC机一台 DP-51PRO单片机综合仿真实验仪一台 3.实验内容 (1)编写一段程序,用P1口作为控制端口,使D1区的LED轮流点亮。 (2)编写一段程序,用P1.0~P1.6口控制LED,P1.7控制LED的亮和灭(P1.7接按键,按下时LED 亮,不按时LED灭)。 图 1 4.实验要求 学会使用单片机的P1口作I/O口。如果时间充裕,也可以考虑利用P3口作I/O口来做该实验。 5.实验步骤 ①用导线把A2区的J61接口与D1区的J52接口相连。原理如图1所示。 ②先编写一个延时程序。 ③将LED轮流点亮的程序编写完整并调试运行。 ④使用导线把A2区J61接口的P1.0~P1.6与D1区J52接口的LED1~LED7相连,另外A2区J61接口的P1.7与D1区J53的KEY1相连。原理如图3.2(b)所示。 ⑤编写P1.7控制LED的程序,并调试运行(按下K1看是否全亮)。 ⑥A2区J61接口P1.7与D1区J54的SW1相连,然后再运行程序,拨动开关SW1查看结果。

6.实验预习要求 阅读附录内容,理解实验的硬件结构。可以先把程序编好,然后在Keil C51环境下进行软件仿真。 7.实验参考程序 程序1: ORG 8000H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0000H LJMP M ain ORG 8100H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0100H Main: MOV A,#0FFH CLR C MainLoop: CALL Delay RLC A MOV P1,A ;把A的值输出到P1口 SJMP MainLoop Delay: MOV R7, #0 ;延时 Loop: MOV R6, #0 DJNZ R6, $ DJNZ R6, $ DJNZ R6, $ DJNZ R7, Loop RET ; END 程序2: ORG 8000H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0000H LJMP Main ORG 8100H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0100H Main:JB P1.7,SETLED ;按键没有按下时,跳转到SETLED CLRLED: CLR P1.0 CLR P1.1 CLR P1.2 CLR P1.3 CLR P1.4 CLR P1.5 CLR P1.6 SJMP Main SETLED: SETB P1.0 SETB P1.1

微机接口(8086)基本实验指导书

目录 实验须知 (2) 实验一系统介绍 (3) 一.系统特点 (3) 二、8086系统概述 (3) 三、系统电源 (5) 四、硬件介绍 (5) 实验二简单I/O口扩展实验 (9) 实验三存储器读写实验 (11) 实验四I/O口扩展和存储器扩展设计实验 (14) 实验五8255并行口实验 (17) 实验六8253定时器/计数器接口实验 (19) 实验七LCD显示实验 (22)

实验须知 一、预习要求 1.实验前认真阅读实验教程中有关内容,明确实验目的和实验任务。 2.每次实验前应有预习报告,未预习者不允许参加实验。 预习报告中:对软件实验,要求画出程序流程图,编好上机程序;对硬件实验,要求画出实验线路图,拟定实验步骤,画出程序流程图,编好上机程序。 二、实验要求 1.实验是学习“汇编语言程序设计”和“微机原理与接口技术”这两门课程的重要环节,实验课请勿迟到,缺席。 2.爱护设备,保持清洁,不随意更换设备。 3.认真完成实验任务,实验结果经教师检查,教师对实验内容提问,对完成者做记录。 4.做硬件实验时,注意断电操作,即所有的接线、改线及拆线操作均应在不带电的状态下进行,严禁带电操作,并注意防止导线堵塞插孔。 5.发生事故,应立即切断电源,并马上向教师报告,检查原因,吸取教训。 6.实验完毕,请整理实验设备,再离开实验室。 三、报告要求 每次实验后,应递交一份实验报告,报告中应包括下列内容: 1.实验名称、实验人姓名、学号、班级、所用的设备号。 2.实验目的、任务。 3.各任务程序流程图、程序清单(应加适量注释)。 4.硬件实验应画出各任务的完整电路图,包括PC总线与实验用到芯片间全部电路。 5.记录和分析实验结果。 6.据实验目的认真做小结。

《电子技术实验1》实验指导书

实验一仪器使用 一、实验目的 1.明确函数信号发生器、直流稳压稳流电源和交流电压表的用途。 2.明确上述仪器面板上各旋钮的作用,学会正确的使用方法。 3.学习用示波器观察交流信号波形和测量电压、周期的方法。 二、实验仪器 8112C函数信号发生器一台 DF1731SC2A可调式直流稳压稳流电源一台 DF2170B交流电压表一台 双踪示波器一台 三、实验内容 1.调节8112C函数信号发生器输出1KHZ、100mV的正弦波信号,将操

2.将信号发生器输出的信号接入交流电压表测量,配合调节函数信号发生器的“MAPLITUDE POWER”旋钮,使其输出为100mV。 3.将上述信号接入双踪示波器测量其信号电压的峰峰值和周期值,并将操作方法填入下表。

四、实验总结 1、整理实验记录、分析实验结果及存在问题等。 五、预习要求 1.对照附录的示意图和说明,熟悉仪器各旋钮的作用。 2.写出下列预习思考题答案: (1)当用示波器进行定量测量时,时基扫描微调旋钮和垂直微调旋钮应处在什么位置?

(2)某一正弦波,其峰峰值在示波器屏幕上占垂直刻度为5格,一个周期占水平刻度为2格,垂直灵敏度选择旋钮置0.2V/div档,时基扫速选择旋钮置0.1mS/div档,探头衰减用×1,问被测信号的有效值和频率为多少?如何用器其他仪器进行验证?

附录一:8112C函数信号发生器 1.用途 (1)输出基本信号为正弦波、方波、三角波、脉冲波、锯齿波。输出幅值从5mv~20v,频率范围从0.1HZ~2MHZ。 (2)作为频率计数器使用,测频范围从10HZ~50MHZ,最大允许输入为30Vrms。 2.面板说明

相关主题
文本预览
相关文档 最新文档