当前位置:文档之家› 计算机组成原理习题答案6

计算机组成原理习题答案6

计算机组成原理习题答案6
计算机组成原理习题答案6

第6章习题参考答案

1.比较单总线、多总线结构的性能特点。

答:单总线结构:它是用单一的系统总线连接整个计算机系统的各大功能部件,各大部件之间的所有的信息传送都通过这组总线。其结构如图所示。单总线的优点是允许I/O 设备之间或I/O 设备与内存之间直接交换信息,只需CPU 分配总线使用权,不需要CPU 干预信息的交换。所以总线资源是由各大功能部件分时共享的。单总线的缺点是由于全部系统部件都连接在一组总线上,所以总线的负载很重,可能使其吞吐量达到饱和甚至不能胜任的程度。故多为小型机和微型机采用。

多总线结构:多总线结构是通过桥、CPU 总线、系统总线和高速总线彼此相连,各大部件的信息传送不是只通过系统总线;体现了高速、中速、低速设备连接到不同的总线上同时进行工作,以提高总线的效率和吞吐量,而且处理器结构的变化不影响高速总线。

2.说明总线结构对计算机系统性能的影响。

答:(1)简化了硬件的设计。从硬件的角度看,面向总线是由总线接口代替了专门的I/O 接口,由总线规范给出了传输线和信号的规定,并对存储器、I/O 设备和CPU 如何挂在总线上都作了具体的规定,所以,面向总线的微型计算机设计只要按照这些规定制作CPU 插件、存储器插件以及I/O 插件等,将它们连入总线即可工作,而不必考虑总线的详细操作。

(2)简化了系统结构。整个系统结构清晰,连线少,底板连线可以印刷化。 (3)系统扩充性好。一是规模扩充,二是功能扩充。规模扩充仅仅需要多插一

些同类型的插件;功能扩充仅仅需要按总线标准设计一些新插件。插件插入机

CPU 内存

设备接口

设备接口

系统总线

器的位置往往没有严格的限制。这就使系统扩充既简单又快速可靠,而且也便于查错。

(4)系统更新性能好。因为CPU 、存储器、I/O 接口等都是按总线规约挂到总线上的,因而只要总线设计恰当,可以随时随着处理器芯片以及其他有关芯片的进展设计新的插件,新的插件插到底板上对系统进行更新,而这种更新只需更新需要更新的插件,其他插件和底板连线一般不需更改。

3.用异步通信方式传送字符“A ”和“8”,数据有7位,偶校验1位,起始位l 位,停止位1位,请分别画出波形图。

答: “A”的ASCII 码为41H = 01000001B ,1的个数为偶数,故校验位为0;“8”的ASCII 码为38H = 00111000B ,1的个数为奇数,故校验位为1。

4. 总线上挂两个设备,每个设备能收能发,还能从电气上和总线断开,画出逻辑图,画出逻辑图,并作简要说明。

5.画出菊花链方式的优先级判决逻辑电路图。

6.画出独立请求方式的优先级判决逻辑电路图。

停 止 位 起 始 位 数 据 位 数 据 位 数 据 位 数 据 位 数 据 位 数 据 位 数 据 位 校 验 位 停 止 位 起 始 位 数 据 位 数 据 位 数 据 位 数 据 位 数 据 位 数 据 位 数 据 位 校 验 位 停

止 位

0 1 2 3 4 5 6

0 1 2 3 4 5 6 总线仲裁器

设备接口 0

设备接口 1

设备接口 n

BG

A 地址线

D 数据线 BR

BS 菊花链查询方式

7.画出分布式仲裁器逻辑电路图。

8.同步通信之所以比异步通信具有较高的传输频率,是因为同步通信 C 。 A .不需要应答信号 B .总线长度较短 C .用一个公共时钟信号进行同步 D .各部件存取时间比较接近

9.在集中式总线仲裁中, B 方式响应时间最快, A 方式对 C 最敏感。 A .菊花链方式 B .独立请求方式 C .电路故障 D. 计数器定时查询方式

10.采用串行接口进行7位ASCII 码传送,带有一位奇校验位、1位起始位和1位停止位,当波特率为9600波特时,字符传送速率为 A 。 A .960 B .873 C .1371 D .480 11.系统总线中地址线的功能是 D 。

A .选择主存单元地址

B .选择进行信息传输的设备

C .选择外存地址

D .指定主存和I /O 设备接口电路的地址

总线仲裁器

设备接口 0

设备接口 1

设备接口 n

A 地址线

D 数据线 BR 0

独立请求方式

BG 0 BR 1 BG 1 BR n BG n

12.系统总线中控制线的功能是A 。

A.提供主存、I/O接口设备的控制信号和响应信号

B.提供数据信息

C.提供时序信号

D.提供主存、I/O接口设备的响应信号

13.说明存储器总线周期与I/O总线周期的异同点。

答:存储总线周期用于对内存读写;I/O总线周期对接口中的端口进行读写。14.PCI是一个与处理器无关的 D ,它采用 C 时序协议和 A 式

仲裁策略,并具有 B 能力。

A.集中B.自动配置 C.同步D.高速外围总线

15.PCI总线的基本传输机制是猝发式传送。利用 A 可以实现总线间的

B 传送,使所有的存取都按CPU的需要出现在总线上。PCI允许 D 总线

C 工作。

A.桥B.猝发式C.并行D.多条

16.InfiniBand是一个高性能的 A 总线标准,数据传送率高达 B ,它可连接 C 台服务器,适合于高成本的 D 计算机的系统。

A.I/O B.30GB/S C.64000 D.较大规模

17.PCI总线中三种桥的名称是什么?桥的功能是什么?

答:在PCI总线体系结构中有三种桥:即HOST桥、PCI/LAGACY总线桥、PCI/PCI 桥。

桥在PCI总线体系结构中起着重要作用,它连接两条总线,使彼此间相互通信。桥是一个总线转换部件,可以把一条总线的地址空间映射到另一条总线的地址空间上,从而使系统中任意一个总线主设备都能看到同样的一份地址表。桥可以实现总线间的猝发式传送,可使所有的存取都按CPU的需要出现在总线上。由上可见,以桥连接实现的PCI总线结构具有很好的扩充性和兼容性,允许多条总线并行工作。

18.何谓分布式仲裁?画出逻辑结构示意图进行说明。

答:分布式仲裁不需要中央仲裁器,每个潜在的主方功能模块都有自己的仲裁号和仲裁器。当它们有总线请求时,把它们唯一的仲裁号发送到共享的仲裁总线上,每个仲裁器将仲裁总线上得到的号与自己的号进行比较。如果仲裁总线上的号大,则它的总线请求不予响应,并撤消它的仲裁号。最后,获胜者的仲裁号保留在仲裁总线上。显然,分布式仲裁是以优先级仲裁策略为基础。

19.总线的一次信息传送过程大致分哪几个阶段?若采用同步定时协议,请画出读数据的同步时序图。

答:总线的一次信息传送过程,大致可分为如下五个阶段:

请求总线,总线仲裁,寻址(目的地址),信息传送,状态返回(或错误报告)

20.某总线在一个总线周期中并行传送8个字节的信息,假设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz ,总线带宽是多少

?

地址

数据

总线时钟启动信号读命令地址线数据线认可

答:8*70*106=560*106B/s

21. 比较PCI总线和InfiniBand标准的性能特点。略

计算机组成原理试题库(含答案)

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C)。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C)。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是(C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

5.寄存器间接寻址方式中,操作数处在(B)。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_C_____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数;

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

计算机组成原理精彩试题集(含问题详解)

计算机组成原理试题一 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

计算机组成原理试题及答案

2. (2000)10化成十六进制数是______。 A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7F0)16 3. 下列数中最大的数是______。 A.(10011001)2 B.(227)8 C.(98)16 D.(152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是______。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 6. 下列有关运算器的描述中,______是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 7. EPROM是指______。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 8. Intel80486是32位微处理器,Pentium是______位微处理器。 A.16B.32C.48D.64 9. 设[X]补=1.x1x2x3x4,当满足______时,X > -1/2成立。 A.x1必须为1,x2x3x4至少有一个为1 B.x1必须为1,x2x3x4任意 C.x1必须为0,x2x3x4至少有一个为1 D.x1必须为0,x2x3x4任意 10. CPU主要包括______。 A.控制器 B.控制器、运算器、cache C.运算器和主存 D.控制器、ALU和主存 11. 信息只用一条传输线,且采用脉冲传输的方式称为______。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 12. 以下四种类型指令中,执行时间最长的是______。 A. RR型 B. RS型 C. SS型 D.程序控制指令 13. 下列______属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D.文本处理 14. 在主存和CPU之间增加cache存储器的目的是______。 A. 增加内存容量 B. 提高内存可靠性 C. 解决CPU和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。 A. SRAM B. 闪速存储器 C. cache D.辅助存储器 16. 设变址寄存器为X,形式地址为D,(X)表示寄存器X的内容,这种寻址方式的有效地址为______。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为______。 1

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

计算机组成原理模拟试题

计算机组成原理 1.(45.75)10=(___________)16 2.若[X]补=1.0110,则[1/2X]补=___________。 3.若X补=1.1001,按舍入恒置1法舍去末位得__________。 4.运算器的核心部件是__________。 5.动态MOS存储器的刷新周期安排方式有____________、 _____________、_____________。 6.若地址码8位,按字节编址则访存空间可达___________,若地址码10位,则访存空间可达_____________,若地址码20位,则访存空间可达_____________。 7.CPU中用于控制的寄存器有_______________________、 __________________ 和_____________________三种;8.控制器的组成方式可分为______________________和微程序控制器两类。 9.按数据传送方式,外围接口可分为_________________和 __________________。 10.指令中的操作数一般可分为_______操作数和_______操作数。11.申请掌握使用总线的设备,被称为__________。 12.某CRT显示器,分辨率800列╳600行,如果工作在256色模式下,则至少需要_________字节的显示存储器。 选择题: 1、浮点加减中的对阶是() A.将较小的一个阶码调整到与较大的一个阶码相同 B.将较大的一个阶码调整到与较小的一个阶码相同 C.将被加数的阶码调整到与加数的阶码相同 D.将加数的阶码调整到与被加数的阶码相同 2、下列哪一个属于检错纠码() A. BCD码 B. ASCII码 C. 奇偶校验码 D. 8421码 3、指令格式可表示为()和地址码的形态 A.指令码 B. 操作码 C.微指令 D. 寄存器码 4、在不同速度的设备之间传送数据( )

计算机组成原理经典复习题集锦(附答案)知识讲解

计算机组成原理经典复习题集锦(附答案)

计算机组成原理复习题 一、填空题 1.用二进制代码表示的计算机语言称为(机器语言),用助记符编写的语言称为(汇编语言)。 2. 计算机硬件由(控制单元)、(运算器)、(存储器)、输入系统和输出系统五大部件组成。 3.十六进制数CB8转换成二进制数为(110010111000)。 4.某数x的真值-0.1011B,其原码表示为( 1.1011)。 5.在浮点加减法运算过程中,在需要(对阶)或(右规)时,尾数需向右移位。 6.指令通常由(操作码)和(地址码)两部分组成。 7.要组成容量为4K*8位的存储器,需要(8)片4K×1位的芯片并联,或者需要(4)片1K×8位的芯片串联。 8. 中断处理过程包括(关中断)、(保护现场)、(执行中断服务程序)、(恢复现场)和(开中断)阶段。 9.操作数寻址方式包括(直接寻址)、(间接寻址)、(立即寻址)、(隐含寻址)、(寄存器寻址)、(寄存器间接寻址)、(基址寻址)等。 10.动态RAM的刷新包括(分散刷新)、(集中刷新)和(异步刷新)三种方式。 11.高速缓冲存储器的替换算法有(先进先出)和(近期最少使用)。 12.影响流水线性能的因素有(数据相关)、(控制相关)和(资源相关)。 13.主存储器容量通常以KB为单位,其中1K=(),硬盘的容量以GB为单位,其中1G=()。 14.主存储器一般采用(动态RAM)存储器,CACHE采用(静态RAM )存储器。 15.世界上第一台计算机产生于(1946 )年,称为(ENIAC)。 16. I/O的编址可分为(不统一编址)和(统一编址),前者需要单独的I/O指令,后者可通过(访存)指令和设备交换信息。 17.CPU从主存取出一条指令并执行该指令的全部时间叫做(指令周期),它通常包含若干个(机器周期),而后者又包含若干个(时钟周期)。 18.计算机中各个功能部件是通过(总线)连接的,它是各部件之间进行信息传输的公共线路。 19.浮点数由(阶码)和(尾数)两部分构成。 20.禁止中断的功能可以由(中断允许触发器)来完成。 21.指令的编码中,操作码用来表明(所完成的操作),N位操作码最多表示(2^N )中操作。 22.静态RAM采用(双稳态触发器)原理存储信息,动态RAM采用(电容)原理存储信息。 23.典型的冯·诺依曼计算机是以(运算器)为核心的。 24.计算机硬件由(控制器)、(运算器)、(存储器)、(输入设备)和(输出设备)五大部件组成。 25.系统总线按系统传输信息的不同,可分为三类:(地址)、(控制)、(数据)。 26.数x的真值-0.1011,其原码表示为( 1.1011 ),其补码表示为( 1.0101 )。 27.Cache称为(高速缓冲)存储器,是为了解决CPU和主存之间(速度)不匹配而采用的一项重要的硬件技术。 28.浮点运算器由(尾数)运算器和(阶码)运算器组成。 29.计算机系统中的存储器分为:(主存)和(辅存)。在CPU执行程序时,必须将指令存放在 (主存)中,即(辅存)不能够直接同CPU交换信息。 30. 在补码加减法运算中,采用双符号位的方法(变形补码)进行溢出判断时,若运算结果中两个符号位(不同),则表明发生了溢出。若结果的符号位为(01),表示发生正溢出;若为(10 ),表示发生负溢出。 31. 使用虚拟存储器的目的是为了解决(内存空间不足)问题。

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理习题及答案54686word版本

计算机组成原理习题及答案54686

概论 一、选择题: 1.1946年研制成功的第一台电子数字计算机称为_B_。A.EDVAC B.ENIAC C.EVNAC D.EINAC 2.完整的计算机系统应包括__D_____.A..运算器、存储器、控制器 B.外部设备和主机 C.主机和存储器 D.配套的硬件和软件设备 3.计算机系统中的存储器系统是指__D____.A.RAM存储器 B.ROM存储器 C.内存储器 D.内存储器和外存储器 4.至今为止,计算机中的所有信息仍以二进制方式表示的理由是_C_____. A..节约元件 B.运算速度快 C.物理器件性能所致 D.信息处理方便 5.计算机硬件能直接执行的只有_B___. A.符号语言 B.机器语言 C.机器语言和汇编语言 D.汇编语言 二、填空题: 1.计算机的硬件包括__运算器_._控制器_._存储器_._输入设备_._输出设备__. 2.在计算机术语中,将运算器和控制器合在一起称为_CPU__,而将_CPU__和存储器合在一起称为__主机__. 3.计算机的软件一般分为两大类:一类叫_系统__软件,一类叫_应用__软件,其中,数据库管理系统属于_系统_软件,计算机辅助教学软件属于__应用___软件. 4.计算机系统中的存储器分为_内存储器_和_外存储器_.在CPU执行程序时,必须将指令存放在_内存储器__中. 5.输入、输出设备以及辅助存储器统称为_外部设备___. 6.计算机存储器的最小单位为__位___,1KB容量的存储器能够存储_1024*8__个这样的单位. 7.在计算机系统中,多个系统部件之间信息传送的公共通路称为__总线___,就其所传送的信息的性质而言,在公共通路上传送的信息包括_数据__、__地址__和__控制___信息. 三、衡量计算机性能的基本指标有哪些? 答:1.基本字长 2.数据通路宽度 3.运算速度:包括CPU时钟频率和数据传输率 4.存储器的容量:包括主存储器的容量和外存储器的容量 5.外围设备及其性能 6.系统软件配置运算方法和运算器 一、选择题: 1.在机器数中,__B____的零的表示形式是唯一的. A.原码 B.补码 C.反码 D.原码和反码 3.若某数X的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法__B__码. A.原 B.补 C.反 D.移 4.运算器虽有许多部件组成,但核心部分是__B____. A.数据总路线 B.算术逻辑运算单元 C.多路开关 D.通用寄存器 5.在定点二进制运算器中,减法运算一般通过__D_____来实现. A.原码运算的二进制减法器 B.补码运算的二进制减法器 C.补码运算的十进制加法器 D.补码运算的二进制加法器

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理试题集

1.数字电子计算机的主要特点是存储容量大、(运算速度快),(运算精度高)。 2.计算机各组成部件相互连接方式,从早期的以(存储器)为中心,发展到现在以(运算器)为中心。 3.指令寄存器寄存的是(C ) A、下一条要执行的指令 B、已执行完了的指令 C 、正在执行的指令D、要转移的指令 4.衡量计算机的性能指标主要有哪些(答主要的三项指标),并说明为什么? 解:衡量计算机性能的指标主要有:计算速度、存储容量和通讯带宽等,计算机速度是反映CPU性能,也是反映计算机能力的主要指标之一。存储容量反映出计算机可以处理的数据量空间的大小。带宽反映出计算机处理信息的通讯能力。 5,决定指令执行顺序的寄存器是(PC),而记录指令执行结果的状态的寄存器是(状态字寄存器) 6.最早提出“存储程序程序”概念的是(A ) A、Babbage B、V.Neumann C、Pascal D、Bell 7.如何理解计算机组成和计算机体系结构? 8.第一台电子计算机(ENIAC)是于1946年交付使用。 9.单地址指令中为了实现两个数的算术运算,除地址码指明的一个操作数外,另一个采用(隐含)寻址方法。 10.假定指令系统有m条指令,指令操作码的位数为N位,则N至少应当等于()。 11.用n+1位字长(含一位符号位)表示原码定点整数时,所能表示的数值范围是(0﹤﹦N );用n+1位字长(含一位符号位)表示原码定点小数时,所能表示的数值范围是() 1. CPU包括()两部分。 A、ALU和累加器 B、ALU和控制器 C、运算器和控制器 D、ALU和主存储器 C 2. 计算机运算速度的单位是()。 A、MTBF B、MIPS C、MHZ D、MB B 3. 若十六进数微AC.B,则其十进制数为()。 A、254.54 B、2763 C、172.6875 D、172.625 C 4. 若十进制数据为137.5则其八进制数为()。 A、89.8 B、211.4 C、211.5 D、1011111.101

计算机组成原理试题及答案

计算机组成原理题集含答案 题库题目总数:293 第一章单选题 1、控制器、运算器和存储器合起来一般称为(主机): I/O部件 内存储器 外存储器 主机 2、冯?诺依曼机工作方式的基本特点是(按地址访问并顺序执行指令):按地址访问并顺序执行指令 精确结果处理 存储器按内部地址访问 自动工作 3、输入、输出设备以及辅助存储器一般统称为(外围设备): I/O系统 外围设备 外存储器 执行部件 4、计算机硬件能直接识别和执行的语言是(机器语言): 高级语言 汇编语言 机器语言 符号语言 判断题

5、若某计算机字代表一条指令或指令的一部分,则称数据字(错)。 6、若某计算机字是运算操作的对象,即代表要处理的数据,则称指令字(错)。 7、数字计算机的特点:数值由数字量(如二进制位)来表示,运算按位进行。(对) 8、模拟计算机的特点:数值由连续量来表示,运算过程是连续的。(对) 填空题 9、系统软件包括:服务程序、语言程序、(操作系统)、数据库管理系统。 10、计算机系统的发展按其核心部件采用器件技术来看经历了五代的变化,分别是(电子管)、(晶体管)、(集成电路)、(大规模集成电路)、(巨大规模集成电路)五个部分。 11、计算机系统是一个由硬件和软件组成的多级层次结构,这通常由(微程序级)、(一般机器级)、(操作系统级)、(汇编语言级)和(高级语言级)等组成,在每一级上都可以进行(程序设计)。 12、计算机的软件一般分为(系统软件)和(应用软件)两大部分。 13、计算机的硬件基本组成包括(控制器)、(运算器)、(存储器)、(输入设备)和(输出设备)五个部分。 简答题 14、什么是存储容量?什么是单元地址? 存储器所有存储单元的总数称为存储器的存储容量。灭个存储单元都有编号,称为单元地址。 15、什么是外存?简述其功能。 外存:为了扩大存储容量,又不使成本有很大的提高,在计算机中还配备了存储容量更大的磁盘存储器和光盘存储器,称为外存储器,简称外存。外存可存储大量的信息,计算机需要使用时,再调入内存。 16、什么是内存?简述其功能。 内存:一般由半导体存储器构成,装在底版上,可直接和CPU交换信息的存储器称为内存储器,简称内存。用来存放经常使用的程序和数据。。 17、指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 18、什么是适配器?简述其功能。 适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调的工作。

计算机组成原理经典复习题集锦(附答案)

计算机组成原理复习题 一、填空题 1.用二进制代码表示的计算机语言称为(机器语言),用助记符编写的语言称为(汇编语言)。 2. 计算机硬件由(控制单元)、(运算器)、(存储器)、输入系统和输出系统五大部件组成。 3.十六进制数CB8转换成二进制数为(110010111000)。 4.某数x的真值-0.1011B,其原码表示为( 1.1011)。 5.在浮点加减法运算过程中,在需要(对阶)或(右规)时,尾数需向右移位。 6.指令通常由(操作码)和(地址码)两部分组成。 7.要组成容量为4K*8位的存储器,需要(8)片4K×1位的芯片并联,或者需要(4)片1K×8位的芯片串联。 8. 中断处理过程包括(关中断)、(保护现场)、(执行中断服务程序)、(恢复现场)和(开中断)阶段。 9.操作数寻址方式包括(直接寻址)、(间接寻址)、(立即寻址)、(隐含寻址)、(寄存器寻址)、(寄存器间接寻址)、(基址寻址)等。 10.动态RAM的刷新包括(分散刷新)、(集中刷新)和(异步刷新)三种方式。 11.高速缓冲存储器的替换算法有(先进先出)和(近期最少使用)。 12.影响流水线性能的因素有(数据相关)、(控制相关)和(资源相关)。 13.主存储器容量通常以KB为单位,其中1K=(),硬盘的容量以GB为单位,其中1G=()。 14.主存储器一般采用(动态RAM)存储器,CACHE采用(静态RAM )存储器。 15.世界上第一台计算机产生于(1946 )年,称为(ENIAC)。 16. I/O的编址可分为(不统一编址)和(统一编址),前者需要单独的I/O指令,后者可通过(访存)指令和设备交换信息。 17.CPU从主存取出一条指令并执行该指令的全部时间叫做(指令周期),它通常包含若干个(机器周期),而后者又包含若干个(时钟周期)。 18.计算机中各个功能部件是通过(总线)连接的,它是各部件之间进行信息传输的公共线路。 19.浮点数由(阶码)和(尾数)两部分构成。 20.禁止中断的功能可以由(中断允许触发器)来完成。 21.指令的编码中,操作码用来表明(所完成的操作),N位操作码最多表示(2^N )中操作。 22.静态RAM采用(双稳态触发器)原理存储信息,动态RAM采用(电容)原理存储信息。 23.典型的冯·诺依曼计算机是以(运算器)为核心的。 24.计算机硬件由(控制器)、(运算器)、(存储器)、(输入设备)和(输出设备)五大部件组成。 25.系统总线按系统传输信息的不同,可分为三类:(地址)、(控制)、(数据)。 26.数x的真值-0.1011,其原码表示为( 1.1011 ),其补码表示为( 1.0101 )。 27.Cache称为(高速缓冲)存储器,是为了解决CPU和主存之间(速度)不匹配而采用的一项重要的硬件技术。 28.浮点运算器由(尾数)运算器和(阶码)运算器组成。 29.计算机系统中的存储器分为:(主存)和(辅存)。在CPU执行程序时,必须将指令存放在(主存)中,即(辅存)不能够直接同CPU交换信息。

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

计算机组成原理题库

、下列描述中正确的是 A控制器能理解、解释并执行所有的指令及存储结果 B一台计算机包括输入、输出、控制、存储及算术逻辑运算五个部件 C所有的数据运算都在CPU的控制器中完成 D以上答案都正确 4、有一些计算机将一部分软件永恒的存于只读存储器中,称之为 A硬件 B软件 C固件 D辅助存储器 E以上都不对 5、输入、输出装置以及外接的辅助存储器称为() A操作系统 B存储器 C主机 D外围设备 7、完整的计算机系统应包括() A运算器、存储器、控制器 B外部设备和主机 C主机和实用程序 D配套的硬件设备和软件系统 8、计算机系统中的存储系统是指() A .RAM存储器存储器 C.主存 D.主存和辅存 19、计算机的算术逻辑单元和控制单元合称为() A. ALU B. UP C. CPU D. CAD 35、储存单元是指() A.存放一个字节的所有存储集合 B.存放一个储存字的所有存储集合 C.存放一个二进制信息的存储集合 D.存放一条指令的存储集合 36、存储字是指() A.存放在一个存储单元中的二进制代码组合 B.存放在一个存储单元中的二进制代码位数 C.存储单元的集合 D.机器指令 39、存放执行执行指令的寄存器是() 有些计算机将一部分软件永恒地存于只读存储器中,称为(A) 15.计算机将存储,算逻辑运算和控制三个部分合称为(A),再加上(B)和(C)就组成了计算机硬件系统。 目前被广泛使用的计算机是()

A.数字计算机 B.模拟计算机 C.数字模拟混合式计算机 D.特殊用途计算机 9.个人计算机(PC)属于()类计算机。 A.大型计算机 B.小型机 C.微型计算机 D.超级计算机、操作系统最早出现在第(A)代计算机上。 计算机使用总线结构便于增减外设,同时() A.减少了信息传输量 B.提高了信息的传输速度 C.减少了信息传输线的条数 2.计算机使用总线结构的主要优点是便于实现积木化,缺点是() A.地址信息,数据信息和控制信息不能同时出现 B.地址信息与数据信息不能同时出现 C.两种信息源的代码在总线中不能同时传送 5.在三中集合式总线控制中,()方式响应时间最快。 A.链式查询 B.计数器定时查询 C.独立请求 8.三种集合式总线控制中,()方式对电路故障最敏感的 A.链式查询 B.计数器定时查询 C.独立请求 13.在独立请求方式下,若有N个设备,则() A.有一个总线请求信号和一个总线响应信号 B.有N个总线请求信号和N个总线响应信号 C.有一个总线请求信号和N个总线响应信号 14.在链式查询方式下,若有N个设备,则() A.有N条总线请求线 B.无法确定有几条总线请求线 C.只有一条总线请求线

计算机组成原理试题及答案

《计算机组成原理》试题 一、(共30分) 1.(10分) (1)将十进制数+107/128化成二进制数、八进制数和十六进制数(3分) (2)请回答什么是二--十进制编码?什么是有权码、什么是无权码、各举一个你熟悉的有权码和无权码的例子?(7分) 2.已知X=0.1101,Y=-0.0101,用原码一位乘法计算X*Y=?要求写出计算过程。(10分) 3.说明海明码能实现检错纠错的基本原理?为什么能发现并改正一位错、也能发现二位错,校验位和数据位在位数上应满足什么条件?(5分) 4.举例说明运算器中的ALU通常可以提供的至少5种运算功能?运算器中使用多累加器的好处是什么?乘商寄存器的基本功能是什么?(5分) 二、(共30分) 1.在设计指令系统时,通常应从哪4个方面考虑?(每个2分,共8分) 2.简要说明减法指令SUB R3,R2和子程序调用指令的执行步骤(每个4分,共8分) 3.在微程序的控制器中,通常有哪5种得到下一条指令地址的方式。(第个2分,共10分) 4.简要地说明组合逻辑控制器应由哪几个功能部件组成?(4分) 三、(共22分) 1.静态存储器和动态存储器器件的特性有哪些主要区别?各自主要应用在什么地方?(7分) 2.CACHE有哪3种基本映象方式,各自的主要特点是什么?衡量高速缓冲存储器(CACHE)性能的最重要的指标是什么?(10分) 3.使用阵列磁盘的目的是什么?阵列磁盘中的RAID0、RAID1、RAID4、RAID5各有什么样的容错能力?(5分) 四、(共18分) 1.比较程序控制方式、程序中断方式、直接存储器访问方式,在完成输入/输出操作时的优缺点。(9分) 2.比较针式、喷墨式、激光3类打印机各自的优缺点和主要应用场所。(9分) 答案 一、(共30分) 1.(10分) (1) (+107/128)10 = (+1101011/10000000)2 = (+0.1101011)2 = (+0.153)8 = (+6B)16 (2) 二-十进制码即8421码,即4个基2码位的权从高到低分别为8、4、2、1,使用基码的0000,0001,0010,……,1001这十种组合分别表示0至9这十个值。4位基二码之间满足二进制的规则,而十进制数位之间则满足十进制规则。 1

《计算机组成原理》习题集部分参考答案.

《计算机组成原理》习题集部分参考答案 说明:这里给出了习题部分答案,仅供参考。名词解释、简答题以及论述题的答案均可以在教材以及其它参考书上找到,请各位同学自行完成。 一. 选择题(每小题2分,共20分 1. B 2. C 3. B 4. A 5. B 6. A 7. B 8.B 9.A 10.A 11. C 12. C 13. D 14. B 15. D 16. A 17. D 18. B 19. C 20. C 21. D 22. B 23. B 24. D 25. A 26. D 27. D 28. D 29. B 30. A 31. D 32. D 33. D 34.D、C 35. D 36. C 37. B 38. B 39. B 40. C 43.B 二. 填空题(每小题1分,共20分

1. 输入编码内码字模码 2. 加、减法浮点运算 3. 210 230 4. 算术运算逻辑运算 5. 程序地址 6. 存储周期存储器 7. 顺序寻址方式跳跃寻址方式8. 并行串行复用 9. 符号位数值域10.控制器运算器 11. 系统软件应用软件系统软件12.带宽单总线、双总线(或三总线。答2个即可 13. 并行空间并行时间并行14. 先进后出寄存器存储器 15. 软件系统16. 集中式分布式 17. 寄存器主存18.为计算机各部件的协调工作提供时间标志。 19. 硬、软件系统器件性能决定 20. 输入编码(或输入码内码(或机内码字模码 21. 内外存贮器指令寄存器22. 存储容量存取时间 23. 程序控制类操作数下一条指令 24. 寄存器-寄存器型寄存器-存储器型 25. 精简指令系统计算机复杂指令系统计算机26. 统一编址单独编址 27. 总线I/O设备(或输入输出设备28. 输入设备输出设备

相关主题
文本预览
相关文档 最新文档