当前位置:文档之家› 直接频率合成器实验报告

直接频率合成器实验报告

直接频率合成器实验报告
直接频率合成器实验报告

电子电工综合实验之直接数字频率合成器(DDS)设计实验报告

姓名:沈来伟

学号:0704330126

院系:电子工程与光电技术学院

指导老师:花汉兵

目录

一设计要求 (3)

二方案论证以及整体电路设计 (3)

1.DDS原理 (3)

2.整体电路设计 (4)

三子模块设计 (5)

1.累加器 (5)

2.频率字以及相位字的产生 (6)

3.译码显示电路 (6)

4.Rom的设计产生 (8)

5.分频电路设计 (9)

6.波形精度的拓展 (10)

7.波形种类的拓展 (12)

8.相位字的加入 (13)

9.测频电路的接入 (14)

四调试以及仿真 (15)

五下载实验 (18)

六实验总结 (20)

一 设计要求:

使用DDS 的方法设计一个任意频率的信号发生器。实现以下功能: 1..频率控制,实现对输出信号的频率的大小的控制。 2.相位控制,实现对输出信号的相位的大小的控制。 3.频率计,实现对所发生频率的实时测量。 4.改进实验的电路,实现波形精度的提高。 5.改进实验的电路,实现波形种类的改进。

(本次实验完成了所有的实验要求,并且下载实验成功)

二 方案论证以及整体电路设计:

1.DDS 原理

DDS 即Direct Digital Synthesizer 数字合成器,是一种新型的频率合成技术。具有相对带宽打,频率转换时间短、分辨力高、相位连续性好等优点,很容易实现频率、相位和幅度的数字调制,广泛应用于通信领域。

DDS 的基本结构如下图所示,主要由相位累加器、相位调制器、正弦波数据表(ROM )、D/A 转换器构成。相位累加器由N 位加法器和N 位寄存器构成。每来一个时钟fc ,加法器就将频率控制字K 与累加寄存器输出的累加相位数据相加,相加的结果又反馈至累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。由此可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率计时DDS 输出的信号频率。用相位累加器输出的数据作为波形存储器(ROM )的相位取样地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查表查出,完成相位到幅值的转换。波形存储器的输出送至D/A 转换器,由D/A 转换器将数字信号转换成模拟信号输出。

由于相位累加器为N 位,相当于把正弦信号在相位上的精度定为N 位(N 的取值范围一般为24~32),所以分辨率为1/2

N

,若系统基准时钟频率为clk

F

,频率控制字fword 为1,

则输出频率为/2

N

clk

F ,这个相当于“基频”。若fword 为B ,则输出频率为:

*2

N

o u

t

c l k

B F

F

=

当系统输入时钟频率clk

F 不变时,输出信号频率为频率控制字M 所决定。由上式得:

*

2

N

o u t

c l k

B F F

=

其中B 为频率字,注意B 要取整,有时会误差。

选取ROM 的地址(即相位累加器的输出数据)时,可以间隔选项,相位寄存器输出的位数M 一般取10~16位,这种截取方法称为截断式用法,可以减少ROM 的容量。M 太大会导致ROM 容量的成倍上升,而输出精度受D/A 位数的限制未有很大改善。

图1 (DDS的基本结构图)

图2 (DDS工作流程示意图) 2.整体电路如图3所示:

图3 (整体电路)

整体分为如下模块:

1.频率字累加器

2.相位字累加器

3.译码显示

4.Rom设计

5.分频模块

6.其他拓展部分(波形精度与波形种类的拓展)

三子模块设计:

1. 累加器。一系列的7483片子实现加法功能,74194实现寄存功能,共同实现同步的累加功能。电路如图4、5所示:

图4(累加器模块图)

图5(累加器内部电路图)

2. 频率字以及相位字的产生。为了频率以及相位可调,设计了一个二进制的计数器,直接输出到频率累加器以及相位叠加器中。计数器,用的是如图6所示。

图6(频率字相位字的产生模块)

图7(计数器内部电路图)

3. 译码显示电路。由上面产生的频率字以及相位字虽然可以直接输入到累加器中去,但是是二进制的数,不可以直接显示出来。所以设计中,用74158片子将二进制数转换成了bcd 码,然后输入到显示模块进行动态显示。转化电路如图8、9所示,动态显示电路如图10、11所示。

图8(bcd码转换器模块图)

图9(bcd码转换器内部电路)

图10(动态显示电路模块图)

图11(动态显示内部电路图)

4. Rom的设计产生。包含Rom数据的文件mif文件可以用c编程、matlab编程、excel 表格等方式生成。本次试验选择了用excel表格拉取的方式实现了数据的生成。如图12所示,先产生一系列的正整数,再将此列的正整数进行取正弦、缩放、量化等处理,最终生成E列的数据。其他形状的波形数据类比此种方法产生,在此不赘述。产生了4096点的波形数据之后,将这4096个数据拷贝到mif文件中,将rom设置关联此mif文件即可。

图12(rom数据的产生图示)

5. 分频电路设计。本次试验的分频功能是使用vhdl语言实现的。如图13、14、15所示。

图13(分频模块图)

图14(分频内部结构图)

其中模48分频如下所示,其他模数的分频类此,不再赘述。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

ENTITY mo48 IS

PORT

( clk :IN std_logic;

co:OUT std_logic

);

END mo48;

ARCHITECTURE fenpin481 OF mo48 IS

begin

PROCESS(clk)

variable aaaa:integer:=0;

BEGIN

IF rising_edge( clk ) then

if(aaaa<=24) then

co<='1';

aaaa:=aaaa+1;

elsif(aaaa>24 and aaaa<48) then

co<='0';

aaaa:=aaaa+1;

else

aaaa:=0;

co<='0';

end if;

end if;

END PROCESS;

END fenpin481;

6.波形精度的拓展。

到此,整合以上的五个模块,实现了基本功能。即实现频率可控、一个rom存放整个波形数据、频率字可现实等功能的DDS基本雏形,如图15,仿真图如图23、24:

图15(DDS雏形电路图)

为了拓展波形的精度,实验中设计了可以用四分之一的波形数据产生整个周期的波形的电

波形存进rom中,为了由仅有的1/4波形产生整个周期的路。具体实现方法是:将0~/2

波形,采用地址取反和输出取反的方法,即以累加器的溢出clear1为使能信号(如图20),做一个模四的计数器,产生q[0]、q[1]两个控制信号分别控制地址的取反和输出的取反(取反电路如图21、图22)。当“q[0]q[1]”为“00”时,地址不取反输出不取反;当“q[0]q[1]”为“01”时,地址取反输出不取反;当“q[0]q[1]”为“10”时,地址不取反输出取反;当“q[0]q[1]”为“11”时,地址取反输出取反。由此产生了整个周期的波形。实现精度拓展的的电路图如图19所示,仿真图形如图25所示:

图16(精度拓展电路图)

图17(模四计数器)

图18(取反模块图)

图19(取反内部电路图)

7. 波形种类的拓展。在牺牲精度的情况下可以实现波形种类的拓展,具体实现方法如下:累加器只负责累加输出10位地址,而将rom输入的前两位地址,即ad[11]、ad[10]引为输入,相当于“段地址”,形象地将一个rom分为四块,地址分别是以段地址“00”、“01”、“10”、“11”开始的四部分。通过手动“key1”、“key2”输入ad[11]、ad[10]的值即可以控制输出不同段的数据。在mif文件中将四部分放入不同的波形数据就可以实现波形种类的拓展了。本次实验中用四分之一的正弦波、四分之一的三角波、四分之一的矩形波、四分之一的阶梯波,通过波形种类拓展电路和精度拓展电路结合而输出四种波形。具体电路图如图23所示。仿真波形如图26、27、28、29所示。

图20(实现波形种类拓展电路图)

8. 相位字的加入。设置双通道,通道一不加相位字,通道二加相位字,同时输出对比显示

相位变化。电路图以及仿真图形如图21、30所示。

图21(加相位控制字电路图)

9. 测频电路的接入。用待测的输出信号的某一电平驱动74160计数,将0.5HZ脉冲接到清零端高电平计数,低电平计数同时低电平到来的那一刻将74160的输出端接到74173(即下

降沿那一刻必须置数),即是将在1秒钟内所计的数(频率)保存起来。电路如图30:

图22(测频电路图)

四调试以及仿真:

依以上设计的电路原理图连接并编译未出错,各级仿真未出错。仿真电路图如下:

图23(正弦波1)

图24(正弦波形2)

图25(精度拓展的正弦波形)

图26(正弦波)

图27(方波)

图28(三角波)

图29(阶梯波)

图30(相位变化仿真图)

五下载实验:

仿真波形成功之后,配置管脚、设定设备工作环境等基本操作之后开始下载。

将工程下载到实验平台上,验证实验预设功能。结果成功。实际波形图如图31~35:

图31(相移)

图32(频率变化)

图33(波形种类拓展1)

图34(波形种类拓展2)

图35(波形种类拓展3)

六实验总结:

————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————————

实现直接数字频率合成器的三种技术方案

实现直接数字频率合成器的三种技术方 案 [日期:2004-12-7] 来源:电子技术应用作者:杭州商学院信息 与电子工程学院姜田华 [字体:大中 小] 摘要:讨论了DDS的工作原理及性能性点,介绍了目前实现DDS常用的三种技术方案,并对各方案的特点作了简单的说明。 关键词:直接数字频率合成器相位累加器信号源现场可编程门限列 1971年,美国学者J.Tierney等人撰写的“A Digital Frequency Synthesizer”-文首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新给成原理。限于当时的技术和器件产,它的性牟指标尚不能与已有的技术盯比,故未受到重视。近1年间,随着微电子技术的迅速发展,直接数字频率合成器(Direct Digital Frequency Synthesis简称DDS或DDFS)得到了飞速的发展,它以有别于其它频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。 1 DDS基本原理及性能特点 DDS的基本大批量是利用采样定量,通过查表法产生波形。DDS的结构有很多种,其基本的电路原理可用图1来表示。 相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲fs,加法器将控制字 k与累加寄存器输出的累加相位数据相加,把相加后的结果送到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位加累加。由此可以看出,相位累加器在每一个中输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的出频率就是DDS输出的信号频率。 用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址。这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。

直接数字式频率合成器

实验八 直接数字式频率合成器(DDS )程序设计与仿真实验 1 实验目的 (1) 学习利用EDA 技术和FPGA 实现直接数字频率合成器的设计。 (2) 掌握使用Quartus Ⅱ原理图输入设计程序。 2 实验仪器 (1)GW48系列SOPC/EDA 实验开发系统 (2)配套计算机及Quartus II 软件 3 实验原理 直接数字频率合成技术,即DDS 技术,是一种新型的频率合成技术和信号产生方法。其电路系统具有较高的频率分辨率,可以实现快速的频率切换,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数控调制。 传统的生成正弦波的数字是利用—片ROM 和一片DAC ,再加上地址发生计数器和寄存器即可。在ROM 中,每个地址对应的单元中的内容(数据)都相应于正弦波的离散采样值,ROM 中必须包含完整的正弦波采样值,而且还要注意避免在按地址读取ROM 内容时可能引起的不连续点,避免量化噪音集中于基频的谐波上。时钟频率f clk 输入地址发生计数器和寄存器,地址计数器所选中的ROM 地址的内容被锁入寄存器,寄存器的输出经DAC 恢复成连续信号,即由各个台阶重构的正弦波,若相位精度n 比较大,则重构的正弦波经适当平滑后失真很小。当f clk 发生改变,则DAC 输出的正弦波频率就随之改变,但输出频率的改变仅决定于f clk 的改变。 为了控制输出频率更加方便,可以采用相位累加器,使输出频率正比于时钟频率和相位增量之积。图1所示为采用了相位累加方法的直接数字合成系统,把正弦波在相位上的精度定为n 位,于是分辨率相当于1/2n 。用时钟频率f P 依次读取数字相位圆周上各点,这里数字值作为地址,读出相应的ROM 中的值(正弦波的幅度),然后经DAC 重构正弦波。这里多了一个相位累加器,它的作用是在读取数字相位圆周上各点时可以每隔M 个点读一个数值,M 即力图1中的频率字。这样,DAC 输出的正弦波频率f sin 就等于“基频” f clk 1/2n 的M 倍,即DAC 输出的正弦波的频率满足下式: )2(sin n clk f M f (1) 这里,f clk 是DDS 系统的工作时钟,式(6-1-1)中的n 通常取值在24~32之间,由图1可知,

基于FPGA的直接数字频率合成器设计

1 JANGSU UNIVERSITY OF TECHNOLOGY FPGA技术实验报告基于FPGA的直接数字频率合成器设计 学院:电气信息工程学院 专业:电子信息工程 班级: 姓名: 学号: 指导教师:戴霞娟、陈海忠 时间: 2015.9.24

1 目录 绪论.......................................................................................... 错误!未定义书签。 一、背景与意义 (2) 二、设计要求与整体设计 (2) 2.1 设计要求 (2) 2.2 数字信号发生器的系统组成 (3) 2.3 DDS技术 (3) 三、硬件电路设计及原理分析 (4) 3.1 硬件电路设计图 (4) 3.2 设计原理 (5) 四、程序模块设计、仿真结果及分析 (5) 4.1顶层模块设计 (6) 4.2分频模块设计 (6) 4.3时钟模块设计 (11) 4.4数据选择模块设计 (12) 4.5正弦波产生模块设计........................................................ 错误!未定义书签。 4.6三角波产生模块设计 (15) 4.7方波产生模块设计............................................................ 错误!未定义书签。 4.8锯齿波模块设计 (18) 五、软硬件调试 (21) 5.1正弦波 (22) 5.2锯齿波 (22) 5.3方波 (23) 5.4三角 (23) 六、调试结果说明及故障分析 (24) 七、心得体会 (24) 八、参考文献 (25) 九、附录 (25)

数字PPL频率合成器的原理与使用

龙源期刊网 https://www.doczj.com/doc/8914658457.html, 数字PPL频率合成器的原理与使用 作者:伊力多斯·艾尔肯 来源:《中国科技博览》2013年第36期 中图分类号:TN742.1 文献标识码:A 文章编号:1009-914X(2013)36-0323-01 中波广播发射机载波频率振荡器能在531KHZ--1602KH频段内提供,1KHZ为间隔的1071个频率点。这些频点的载波振荡频率稳定度和精度都应满足系统的性能要求,并能迅速变换。显然常用的晶体振荡器无法满足上述要求,因为尽管晶体振荡器能提供高稳定的振荡频率,但其频率值单一,只能在很小的频率段内进行微调。频率合成技术则是能够实现上述要求的一种新技术,数字PLL频率合成器是目前应用最广泛的一种频率合成器,它与模拟PLL频率合成器的区别在于数字PLL中采用除法器(分频器),而不是用频率减法器来降低输入鉴相器频 率的。由于分频器可以很方便的用数字电路来实现,而且还具有可储存可变换的功能。因此它比一般的模拟PLL频率合成器更方便、更灵活。此外,数字电路易于集成和超小型化。 PLL即相位锁定环路,它是自动控制两振荡信号频率相等和相位同步的闭环系统,频率合成是指用可变分频器的方法将一个(或多个)基准频率信号转换为频率按比例降低或升高的另一个(或多个)所需频率信号的技术,采用PLL技术的频率合成器称为锁相环路频率合成 器,图(1)所示为数字PLL合成器的原理框图。它主要有鉴相器(PD),压控振荡器(VCO),基准晶体振荡器,基准分频器(1/R),前置分频器(1/K),可编程分频器也叫程控分频器(1/N),低通滤波器(LPF)等组成。可编程分频器的分频系数N由二进制码Po---Pn制定(如图1)。 其中鉴相器(PD)是完成压控振荡器(VCO)的输出信号U0(t),经前置分频和程控分频的信号Uf(T)与输入信号Ui(t)的相位比较,得到误差相位Φe(t)=Φf(t)-Φi(t),产生一个输出电压Ud(t),这个电压的大小直接反映两个信号相位差的大小,电压的极性反应输入信号Ui(t)超前或滞后于Uf(t)的相对相位关系。由此可见,PD在环路中是用来完成相位差电压转换作用,其输出误差电压是瞬间相位的函数。低通滤波器(LPF)滤除Ud (t)中的高频分量与噪声成分,得到控制信号Uc(t),压控振荡器(VCO)受Uc(t)控

数字频率合成器的外文翻译

英文原文 Modulating Direct Digital Synthesizer In the pursuit of more complex phase continuous modulation techniques, the control of the output waveform becomes increasingly more difficult with analog circuitry. In these designs, using a non-linear digital design eliminates the need for circuit board adjustments over yield and temperature. A digital design that meets these goals is a Direct Digital Synthesizer DDS. A DDS system simply takes a constant reference clock input and divides it down a to a specified output frequency digitally quantized or sampled at the reference clock frequency. This form of frequency control makes DDS systems ideal for systems that require precise frequency sweeps such as radar chirps or fast frequency hoppers. With control of the frequency output derived from the digital input word, DDS systems can be used as a PLL allowing precise frequency changes phase continuously. As will be shown, DDS systems can also be designed to control the phase of the output carrier using a digital phase word input. With digital control over the carrier phase, a high spectral density phase modulated carrier can easily be generated. This article is intended to give the reader a basic understanding of a DDS design, and an understanding of the spurious output response. This article will also present a sample design running at 45MHz in a high speed field programmable gate array from QuickLogic. A basic DDS system consists of a numerically controlled oscillator (NCO) used to generate the output carrier wave, and a digital to analog converter (DAC) used to take the digital sinusoidal word from the NCO and generate a sampled analog carrier. Since the DAC output is sampled at the reference clock frequency, a wave form smoothing low pass filter is typically used to eliminate alias components. Figure 1 is a basic block diagram of a typical DDS system design.The generation of the output carrier from the reference sample clock input is performed by the NCO. The basic components of the NCO are a phase accumulator and a sinusoidal ROM lookup table. An optional phase modulator can also be include in the NCO design. This phase modulator will add phase offset to the output of the phase accumulator just before the ROM lookup table. This will enhance the DDS system design by adding the

外文翻译---关于直接数字频率合成器

All About Direct Digital Synthesis What is Direct Digital Synthesis? Direct digital synthesis (DDS) is a method of producing an analog waveform —usually a sine wave —by generating a time-varying signal in digital form and then performing a digital-to-analog conversion. Because operations within a DDS device are primarily digital, it can offer fast switching between output frequencies, fine frequency resolution, and operation over a broad spectrum of frequencies. With advances in design and process technology, today’s DDS devices are very compact and draw little power. Why would one use a direct digital synthesizer (DDS)? Aren’t there other methods for easily generating frequencies? The ability to accurately produce and control waveforms of various frequencies and profiles has become a key requirement common to a number of industries. Whether providing agile sources of low-phase-noise variable-frequencies with good spurious performance for communications, or simply generating a frequency stimulus in industrial or biomedical test equipment applications, convenience, compactness, and low cost are important design considerations. Many possibilities for frequency generation are open to a designer, ranging from phase-locked-loop (PLL)-based techniques for very high-frequency synthesis, to dynamic programming of digital-to-analog converter (DAC) outputs to generate arbitrary waveforms at lower frequencies. But the DDS technique is rapidly gaining acceptance for solving frequency- (or waveform) generation requirements in both communications and industrial applications because single-chip IC devices can generate programmable analog output waveforms simply and with high resolution and accuracy. Furthermore, the continual improvements in both process technolog y and design have resulted in cost and power consumption levels that were previously unthinkably low. For example, the AD9833, a DDS-based programmable waveform generator (Figure 1), operating Figure 1. The AD9833-a one-chip waveform generator.

直接数字频率合成知识点汇总(原理_组成_优缺点_实现)

直接数字频率合成知识点汇总(原理_组成_优缺点_实现) 直接数字频率合概述DDS同DSP(数字信号处理)一样,也是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。DDS 是从相位概念出发直接合成所需要波形的一种新的频率合成技术。 直接数字频率合成是一种新的频率合成技术和信号产生的方法,具有超高速的频率转换时间、极高的频率分辨率分辨率和较低的相位噪声,在频率改变与调频时,DDS能够保持相位的连续,因此很容易实现频率、相位和幅度调制。此外,DDS技术大部分是基于数字电路技术的,具有可编程控制的突出优点。因此,这种信号产生技术得到了越来越广泛的应用,很多厂家已经生产出了DDS专用芯片,这种器件成为当今电子系统及设各中频率源的首选器件。 直接数字频率合成原理工作过程为: 1、将存于数表中的数字波形,经数模转换器D/A,形成模拟量波形。 2、两种方法可以改变输出信号的频率: (1)改变查表寻址的时钟CLOCK的频率,可以改变输出波形的频率。 (2)、改变寻址的步长来改变输出信号的频率.DDS即采用此法。步长即为对数字波形查表的相位增量。由累加器对相位增量进行累加,累加器的值作为查表地址。 3、D/A输出的阶梯形波形,经低通(带通)滤波,成为质量符合需要的模拟波形。 直接数字频率合成系统的构成直接数字频率合成主要由标准参考频率源、相位累加器、波形存储器、数/模转换器、低通平滑滤波器等构成。其中,参考频率源一般是一个高稳定度的晶体振荡器,其输出信号用于DDS中各部件同步工作。DDS的实质是对相位进行可控等间隔的采样。 直接数字频率合成优缺点优点:(1)输出频率相对带宽较宽 输出频率带宽为50%fs(理论值)。但考虑到低通滤波器的特性和设计难度以及对输出信号杂散的抑制,实际的输出频率带宽仍能达到40%fs。 (2)频率转换时间短

锁相环调频和解调实验频率合成器实验

精心整理 实验11锁相调频与鉴频实验 一、实验目的 1. 掌握锁相环的基本概念。 2. 了解集成电路CD4046的内部结构和工作原理。 3. 掌握由集成锁相环电路组成的频率调制电路/解调电路的工作原理。 1. 2. 1. 2. 3. 4. 5. (1图11-1LF )和图11-1锁相环的基本组成 ① 压控振荡器(VCO ) VCO 是本控制系统的控制对象,被控参数通常是其振荡频率,控制信号为加在VCO 上的电压。所谓压控振荡器就是振荡频率受输入电压控制的振荡器。 ② 鉴相器(PD ) PD 是一个相位比较器,用来检测输出信号0V (t )与输入信号i V (t )之间的相位差θ(t),并把θ(t)转化为电压)(t V d 输出,)(t V d 称为误差电压,通常)(t V d 作为一直流分量或一低频交流量。

③环路滤波器(LF) LF作为一低通滤波电路,其作用是滤除因PD的非线性而在)(t V d 中产生的无用组 合频率分量及干扰,产生一个只反映θ(t)大小的控制信号)(t V C 。 4046锁相环芯片包含鉴相器(相位比较器)和压控振荡器两部分,而环路滤波器由外接阻容元件构成。 (2)锁相环锁相原理 锁相环是一种以消除频率误差为目的反馈控制电路,它的基本原理是利用相位误差电压去消除频率误差。按照反馈控制原理,如果由于某种原因使VCO的频率发生 变化使得与输入频率不相等,这必将使)(t V O 与)(t V i 的相位差θ(t)发生变化,该相位 差经过PD转换成误差电压)(t V d 。此误差电压经过LF滤波后得到)(t V c ,由)(t V c 去改变 VCO的振荡频率,使其趋近于输入信号的频率,最后达到相等。环路达到最后的这种 ),因此 (3 化) 锁相环(4046)的结构框图及引出端功能图如下图所示。 1.用锁相环(集成)构成的调频/解调(鉴频)电路 (1).锁相环调频原理 注:由于载波信号频率相对于调制信号频率高的多,故载波信号频率称为所谓的高频(只是相对而言),而调制信号频率则相对应的称为低频。 将调制信号加到压控振荡器(VCO)的控制端,使压控振荡器的输出频率(在自振频

直接数字频率合成器开题报告

毕业设计(论文)开题报告 题目基于FPGA的直接数字频率合成专业名称通信工程 班级学号09042138 学生姓名周忠 指导教师刘敏 填表日期2013 年 1 月8 日

一、选题的依据及意义: 直接数字频率合成器(Direct Digital Frequency Synthesizer)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。其电路系统具有较高的频率分辨率,可以实现快速的频率切换(<20ns),频率分辨率高(0.01HZ),频率稳定度高,输出信号的频率和相位可以快速程控切换,输出相位可连续,可编程以及灵活性大等优点。DDS技术很容易实现频率、相位和幅度的数控调制,广泛用于接收本振、信号发生器、仪器、通信系统、雷达系统等,尤其适合调频无线通信系统 本课题使用可编程器件实现直接数字频率合成设计,它比传统的数字频率合成方式有着显著的优越性,与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 二、国内外研究概况及发展趋势(含文献综述): 直接数字频率合成(DDS)技术是第三代频率合成技术。20世纪70年代以来,随着数字集成电路和电子技术的发展,出现了一种新的合成方法——直接数字频率合成。它从相位的概念出发进行频率合成,采用了数字采样存储技术,具有精确的相位,频率分辨率,快速的转换时间等突出优点,是频率合成技术的新一代技术。直接数字频率合成作为新一代数字频率技术发展迅速,并显示了很大的优越性,已经在军事和民用领域得到广泛的应用,例如在雷达(捷变频雷达、有源相控雷达、低截获概率雷达)、通信(跳频通信、扩频通信)、电子对抗(干扰和反干扰)、仪器和仪表(各种合成信号源)、任意波形发生器、产品测试、冲击和振动、医学等方面的应用。 DDS技术作为一项具有广泛前景和生命力的频率合成技术,越来越受到人们的重视。随着微电子技术的飞速发展,国外一些大公司Qualcomm、ADI等竞相推出DDS芯片,来满足设计人员的要求。许多性能优良的DDS产品不断的推向市场。 Qualcomm公司推出了DDS系列Q2220Q2230等其中Q2368的时钟频率

DDS 直接数字频率合成器 实验报告(DOC)

直接数字频率合成器(DDS) 实验报告 课程名称电类综合实验 实验名称直接数字频率合成器设计 实验日期2015.6.1—2013.6.4 学生专业测试计量技术及仪器 学生学号114101002268 学生姓名陈静 实验室名称基础实验楼237 教师姓名花汉兵 成绩

摘要 直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS 或DDS)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。本篇报告主要介绍设计完成直接数字频率合成器DDS的过程。其输出频率及相位均可控制,且能输出正弦波、余弦波、方波、锯齿波等五种波形,经过转换后在示波器上显示。经控制能够实现保持、清零功能。除此之外,还能同时显示出频率控制字、相位控制字和输出频率的值。实验要求分析整个电路的工作原理,并分别说明了各子模块的设计原理,依据各模块之间的逻辑关系,将各电路整合到一块,形成一个总体电路。本实验在Quartus Ⅱ环境下进行设计,并下载到SmartSOPC实验系统中进行硬件测试。最终对实验结果进行分析并总结出在实验过程中出现的问题以及提出解决方案。 关键词:Quartus Ⅱ直接数字频率合成器波形频率相位调节 Abstract The Direct Digital Frequency Synthesizer is a technology based on fully digital technique, a frequency combination technique syntheses a required waveform from concept of phase. This report introduces the design to the completion of the process of direct digital frequency synthesizer DDS. The output frequency and phase can be controlled, and can output sine, cosine, triangle wave, square wave, sawtooth wave, which are displayed on the oscilloscope after conversation. Can be achieved by the control to maintain clear function. Further can simultaneously display the value of the frequency, the phase control word and the output frequency. The experimental design in the Quartus Ⅱenvironment, the last hardware test download to SmartSOPC experimental system. The final results will be analyzed, the matter will be put forward and the settling plan can be given at last. Key words:Quartus ⅡDirect Digital Frequency Synthesizer waveform Frequency and phase adjustment

直接数字频率合成器

电子线路课程设计直接数字频率合成器 学号: 姓名: 2011年11月

摘要 本篇论文主要讲了用eda设计dds。用quartus 软件模拟仿真电路,并下载到芯片。使电路能输出正余弦波,并可调节频率和相位。并在这基础上进行一部分扩展,如能输入矩形三角形波。 关键词eda设计 dds quartus Abstract: This report introduces the EDA design is completed with Direct Digital Synthesis DDS process. This design uses DDS QuartusII 7.0 software design, and downloads SmartSOPC experimental system hardware. Key word eda design dds quartus

目录 设计要求 (4) 方案论证 (4) 各子模块设计原理 (6) 调试,仿真及下载 (12) 结论 (13)

一.设计要求 基本要求: 1、利用QuartusII软件和SmartSOPC实验箱实现DDS的设计; 2、DDS中的波形存储器模块用Altera公司的Cyclone系列FPGA芯片中的RAM 实现,RAM结构配置成212×10类型; 3、具体参数要求:频率控制字K取4位;基准频率fc=1MHz,由实验板上的系统时钟分频得到; 4、系统具有使能功能; 5、利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形; 6、过开关(实验箱上的Ki)输入DDS的频率和相位控制字,并能用示波器观察加以验证; 提高部分: 1、通过按键(实验箱上的Si)输入DDS的频率和相位控制字,以扩大频率控制和相位控制的范围;(注意:按键后有消颤电路) 2、能够同时输出正余弦两路正交信号; 3、在数码管上显示生成的波形频率; 4、充分考虑ROM结构及正弦函数的特点,进行合理的配置,提高计算精度; 5、设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器; 6、基于DDS的AM调制器的设计; 7、自己添加其他功能。 二、方案论证 直接数字频率合成器(Direct Digital Frequency Synthesizer)是一种基

直接数字合成器通信原理课程设计

课程设计 课程名称:通信原理课程设计 设计名称:基于400MSPS 14-Bit,1.8VCMOS直接 数字合成器AD9951 专业:班级: 姓名:学号:

400 MSPS 14-Bit, 1.8 V CMOS 直接数字合成器AD9951 Abstract: The AD9951 is a direct digital synthesizer (DDS) featuring a 14-bit DAC operating up to 400 MSPS. The AD9951 uses advanced DDS technology, coupled with an internal high speed, high performance DAC to form a digitally programmable, complete high frequency synthesizer capable of generating a frequency-agile analog output sinusoidal waveform at up to 200 MHz. The AD9951 is designed to provide fast frequency hopping and fine tuning resolution (32-bit frequency tuning word). The frequency tuning and control words are loaded into the AD9951 via a serial I/O port. The AD9951 is specified to operate over the extended industrial temperature range of –40°C to +105°C.Synchronizing Multiple AD9951s , The AD9951 product allows easy synchronization of multiple AD9951s. There are three modes of synchronization available to the user: an automatic synchronization mode, a software controlled manual synchronization mode, and a hardware controlled manual synchronization mode. Applications, Agile LO frequency synthesis, Programmable clock generators, Test and measurement equipment ,Acousto-optic device drivers. T he AD9951 supports various clock methodologies. Support for differential or single-ended input clocks and enabling of an on-chip oscillator and/or a phase-locked loop (PLL) multiplier are all controlled via user programmable bits. 摘要: AD9951是一个直接数字频率合成器(DDS),其特色是有一个工作在400MSPS的14位数/模转换器(14-bit DAC). AD9951采用了先进的DDS技术,芯片内部有一个高速的,高性能的DAC,能够形成一个数位可编程的,完整的高频合成器DDS系统,有能力产生频率达200 MHz 的模拟正弦波。AD9951可提供快速频率跳变和高精度分辩率(32位频率控制字)。频率调谐和控制字经并行口或串行口输入到AD9951。 在工业应用中,AD9951的工作温度为–40°C到+105°C。同时并联发生AD9951,存在三种可能得到的同步方式电路∶自动同步方式,软件控制手控同步方式,硬件控制手控同步方式。AD9951可以应用于本机振荡频率合成,可编程时钟发生器,测试和测量装置,声光器件驱动装置。AD9951在不同的时钟脉冲下有不同的操作方法。适合于差动或单端输入时钟脉冲并启动芯片内部振荡器及锁相环路(锁相环)放大器全部控制经由用户可编程序的位。 Key words: automatic synchronization mode software controlled manual synchronization mode a hardware controlled manual synchronization mode Support for differential input clocks Common-mode noise increased signal-to-noise ratio 关键字:自动同步方式软件控制手控同步方式 硬件控制手控同步方式差动输入时钟脉冲 共模噪声信噪比

第4章 数字频率合成器的设计分析

第4章数字频率合成器的设计 随着通信、雷达、宇航和遥控遥测技术的不断发展,对频率源的频率稳定度、频谱纯度、频率范围和输出频率的个数提出越来越高的要求。为了提高频率稳定度,经常采用晶体振荡器等方法来解决,但它不能满足频率个数多的要求,因此,目前大量采用频率合成技术。 频率合成是通信、测量系统中常用的一种技术,它是将一个或若干个高稳定度和高准确度的参考频率经过各种处理技术生成具有同样稳定度和准确度的大量离散频率的技术。频率合成的方法很多,可分为直接式频率合成器、间接式频率合成器、直接式数字频率合成器( DDS)。直接合成法是通过倍频器、分频器、混频器对频率进行加、减、乘、除运算,得到各种所需频率。该方法频率转换时间快(小于100ns),但是体积大、功耗大,目前已基本不被采用。 锁相式频率合成器是利用锁相环(PLL)的窄带跟踪特性来得到不同的频率。该方法结构简化、便于集成,且频谱纯度高,目前使用比较广泛。 直接数字频率合成器(Direct Digital Frequency Synthesis简称:DDS)是一种全数字化的频率合成器,由相位累加器、波形ROM,D/A转换器和低通滤波器构成,DDS技术是一种新的频率合成方法,它具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等优点。但合成信号频率较低、频谱不纯、输出杂散等。 这里将重点研究锁相式频率合成器。本章采用锁相环,进行频率

合成器的设计与制作。 4.1 设计任务与要求 1.设计任务:利用锁相环,进行频率合成器的设计与制作 2.设计指标: (1)要求频率合成器输出的频率范围f0为1kHz~99kHz; (2)频率间隔 f 为1kHz; (3)基准频率采用晶体振荡频率,要求用数字电路设计,频率稳定度应优于10-4; (4)数字显示频率; (5)频率调节采用计数方式。 3.设计要求: (1)要求设计出数字锁相式频率合成器的完整电路。 (2)数字锁相式频率合成器的各部分参数计算和器件选择。 (3)画出锁相式数字频率合成器的原理方框图、电路图 (4)数字锁相式频率合成器的仿真与调试。 4.制作要求: 自行装配和调试,并能发现问题解决问题。测试主要参数:包括晶体振荡器输出频率;1/M分频器输出频率;1/N可编程分频器的测试;锁相环的捕捉带和同步带测试。 5.课程设计报告要求。 写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 6.答辩要求

直接数字合成器

第二章DDS的基本理论 DDs的基本结构包括:相位累加器、正弦查询表ROM、数模转换器DAC及 低通滤波器等。本章从介绍DDS的工作原理和基本结构出发,分析了它的主要特点及其频谱分布规律。DDS有两个主要的缺点:一个是输出频率低,另一个是输出频谱中杂散比较多。输出频谱低主要受DDS工作频率的限制,但随着微电子技术的发展这个缺陷会渐渐地得到弥补。而DDS输出谱中的杂散是DDS所固有的,是由十DDS的工作方式决定的。因此了解DDS的杂散分布及幅度大小对DDS的系统设计有很重要的意义,所以本章着重讨论DDS的杂散问题。 2.1 DDS技术的工作原理和主要特点 正弦输出DDS的原理框图如下图2.1所示,下面分别加以详细介绍【5】。 相位累加器PA (Phase Accumulator)在K位频率控制字FCW(Frequency Control Word)的控制下,以参考时钟频率关为采样频率,产生待合成信号的数字线性相位序列,将相位累加器的高n位作为地址码通过正弦查询表ROM变换,产生m 位对应信号波形的数字序列,再由数模转换器DAC将其转化为阶梯模拟电压波形,最后由具有内插作用的低通滤波器LPF将其平滑为连续的正弦波形作为输出,这就是DDS的基本工作原理。 当DDS中的相位累加器计数大十2N时,累加器自动溢出其最高位,而累加器输出后面的N比特数字将保留在相位寄存器中,即相当于做2N的模余运算。可以看出:该相位累加器平均每2N /K个时钟周期溢出一次 我们知道,?=ω/2π=Δθ/(2π,Δt),其中Δθ为一个采样周期Δt之间的相位增量,Δt=1/? c ,Δθ=K×2π/2N。所以,K和时钟频率?c共同决定着DDS 输出信号的频率?0,他们之间的关系满足:? 0=K 2 ×? c (2-1) DDS的最小频率分辨率满足: Δ? min =1 2 ×? C (2-2) 由此可见,DDS相当十一个小数分频器。最小频率分辨率是指K的最低为“1" 其余位均为“0”时DDS的输出频率。实际运用中所计算出的K很难为整数,因此不可避免地将会存在频率误差,经过分析可知,如将计算出来的x的小数部分舍去的算法,那么最终输出信号的频率误差与最小频率分辨率的关系始终满 足:Δ?≤Δ? min ;如果将小数部分四舍五入,则有:Δ?≤0.5Δ? min 。

DDS数字频率合成器实验报告

DDS数字频率合成器 实验报告

摘要 直接数字频率合成器是一种基于全数字技术,从相位出发直接合成所需波形的一种频率合成技术,具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,本次实验中,利用QuartusII软件设计一个可控制频率,相位的可输出正弦和余弦的直接数字频率合成器,要求分析整个电路的工作原理,并分别说明各子模块的设计原理,整合各电路,形成总体电路。完成调试、仿真、编程下载后,分析最终结果,总结问题并寻求解决方法 关键词:直接数字频率合成器累加控制频率相位波形 Abstract Direct digital frequency synthesizer is a full digital technology based on afrequency synthesis technology, the required waveform from the phase of thedirect synthesis, has the advantages of low cost, low power consumption, high resolution and fast switching time and other advantages, is widely used in thefield of electrical and electronic equipment, In this experiment, a design can control the frequency by using QuartusII software, the direct digital frequency synthesizer phase can output sine and cosine, the working principle of the whole circuit requirements analysis, and explains the design principle of each module, integration of the circuit, the formation of the overall circuit. Finished debugging, simulation, programming,analysis result, summarizes the problems and seek solutions Key word: Direct Digital Frequency Synthesizer accumulation control frequent phase position waveform

相关主题
文本预览
相关文档 最新文档