当前位置:文档之家› 单片机篮球计分器报告书

单片机篮球计分器报告书

单片机篮球计分器报告书
单片机篮球计分器报告书

一、目录

一目录 1摘要 1 概述: 2

二、篮球计分器方案及硬件设计: 3

2.1 AT89C51与AT89S51简介 3

2.2 数码管显示原理: 4

2.3定时/计数器 5

三、流程图: 6

四、仿真图和实际焊接图: 7

4.1 Proteus仿真图:7

4.2 实际焊接图:8

五、程序代码: 8

六、心得体会: 14

七、参考文献: 15

1、Proteus仿真软件简介15

2. 《51系列单片机》实验指导书15

摘要

单片机把我们带入了智能化的电子领域,许多繁琐的系统若由单片机进行

设计,便能收到电路更简单、功能更齐全的良好效果。若把经典的电子系统当作一个僵死的电子系统,那么智能化的现代电子系统则是一个具有“生命”的电子系统。而随着技术的进步,单片机与串口通信的结合更多地应用到各个电子系统中已成一种趋势。

单片机具有体积小、功能强、应用面广等优点,目前正以前所未见的速度取代着传统电子线路构成的经典系统,蚕食着传统数字电路与模拟电路固有的领地。同时,一个学习与应用单片机的新高潮正在大规模地兴起。

但是,单片机并不像传统数字电路或模拟电路那样直观,原因是除了“硬件”之外,还存在一个“软件”的因素。正是这个“软件”因素的存在,使得许多初学者怎么也弄不懂单片机的工作过程,怎么也不明白为什么将几个数送来送去,就能控制一盏灯亮/灭,就能控制一个电机变速。

本设计是由一种由AT89C51编程控制LED七段数码管做显示的篮球计分系统。本系统具有加1,加2,加3,以防止加错分数的减一控制,红、蓝两队双方的比分以及比赛中换场交换分数。

本设计就是基于单片机计分系统,通过串口通信动态传输数据,使计时计分系统有了更多更完善的功能。。

概述:

本系统是采用单片机AT89C51作为本设计的仿真元件。焊接时使用单片机AT89S51实践,利用7段共阳LED作为显示器件。所设计的篮球计分器分为三个部分:开关控制、数码管的显示以及红蓝两队两位数码管的控制。P0口接数码管,显示红蓝两队的比分。P2口接数码管的COM用于对数码管的控制。P3

口接6个开关分别作为比分+1、+2、+3、-1 交换红蓝两队切换的控制按键。

该方案使用共阳极数码管的com焊接时接C9012三极管的C极和电阻用于驱动数码管。B 极接1K电阻后连接到P2口,E 级接5V电源.。

二、篮球计分器方案及硬件设计:

2.1 AT89C51与AT89S51简介

单片机是在一块芯片中集成了CPU、RAM、ROM、定时/计

数器和多功能I/O口等计算机所需要的基本部件的大规模

集成电路,又称MCU。其以体积小、功能全、性价比等诸多

优点而独具特色,在工业控制、尖端武器、通信设备、家用

电器等嵌入式应用领域中独占鳌头。如果说C语言程序设计

课程设计的基础课,那么单片机以其系统硬件构架完整、价

格低廉、学生能动手等特点,成为工科学生硬件设计基础课。

本次实训中,使用AT89C51进行仿真实验。

AT89C51管脚图

其管脚图如右89S51是一个低功图所示。AT8耗,高性能CMOS

8位单片机,片内含4k Bytes ISP(In-system programmable)

的可反复擦写1000次的Flash只读程序存储器,器件采用

ATMEL公司的高密度、非易失性存储技术制造,兼容标准

MCS-51指令系统及80C51引脚结构,芯片内集成了通用8

位中央处理器和ISP Flash存储单元,AT89S51在众多嵌入

式控制应用系统中得到广泛应用。在本次实训中AT89S51

作为实际焊接时使用,在焊接过程中,注意与仿真图对应其

管脚,区分AT89C51与AT89S51的管脚排列。

AT89S51管脚图

2.2 数码管显示原理:

一位共阳极数码管引脚排列和内部结构图。下图为实物图:

引脚排列:共十个引脚,上5下5。如上图放置数码管,从左上角第一个引脚顺时针开始数,引脚依次如下:1:g 2:f 3:com端(接高电平) 4:a 5:b 6: dp 7:c 8:com端(接高电平) 9:d 10:e

注:3 8两引脚用时只需接一个高电平端即可

假设我们要显示一个数字2, 那么A、B、G、E、D这5个段的发光管亮就可以了,C、F、H不亮,同时由于接法为共阳接法,那么为低电平是亮,为高电平是灭。从高往低排列,P1.7-P1.0写成二进制为01111110,把他转化为16进制则为A2H。我们可以根据硬件的接线把数码管显示数字编制成一个表格,见下表,以后直接调用就行了。

表2.1 共阳极数码管显示

显示P1.7

小数点P1.6

G

P1.5小

F

P1.4

E

P1.3

D

P1.2

C

P1.1

B

P1.0

A

HE代码

0 1 1 0 0 0 0 0 0 C0H

1 1 1 1 1 1 0 0 1 F9H

2 1 0 1 0 0 1 0 0 A4H

3 1 0 1 1 0 0 1 0 B0H

4 1 0 0 1 1 0 0 1 99H

5 1 0 0 1 0 0 1 0 92H

6 1 0 0 0 0 0 1 0 82H

7 1 1 1 1 1 0 0 0 F8H

8 1 0 0 0 0 0 0 0 80H

9 1 0 0 1 0 0 0 0 90H

数码管的接口有静态接口和动态接口两种。静态接口为固定显示方式,无闪烁,其电路可采用一个并行口接一个数码管,数码管的公共端按共阳接VCC,这种接法占用接口多,仅能接少量数码管。

动态接口采用各数码管循环轮流显示的方法,当循环显示的频率较高时,利用人眼的暂留特性,看不出闪烁显示现象,这种显示需要一个接口完成字形码的输出,另一接口完成各数码管的轮流点亮。

2.3定时/计数器

篮球比赛计分器其实就是一个计数器,本次课程设计就是利用单片机中的定时/计数器来实现其计分的功能。在51单片机中有两个16位的定时/计数器T0,T1,分别由TH0、TL0和TH1、TL1组成,它们均是8位寄存器,在特殊功能寄存器中占地址8AH-8DH。它们用于存放定时或计数的初始值。此外,内部还有一个8位的方式寄存器TMOD和一个8位的控制寄存器TCON。用于选择和控制定时/计数器的工作。其格式见下面两表:

表3.1 方式控制寄存器TMOD

GATE C/T M1M0GA TE C/T M1M0

门控开关计数/定时方式选择门控开关计数/定时方式选择

表3.2 控制寄存器

TF1TR1TF0TR O IE1IT1IE0IT0

T1请求有/无T1工作

启/停

T0请求

有/无

T0工作

启/停

INT1请求

有/无

INT1方式

下沿

INT1请求

有/无

INT1方式

下沿

篮球比赛计分器利用单片机的计数器进行工作,计数器对外部脉冲的下降沿进行加1计数,直至计满回零。作为可编程器件,单片机中的定时/计数器初始化编程步骤如下:

根据定时时间要求或计数要求计算计数器初值;

将工作方式控制字写入TMOD寄存器;

将计数初值写入TH X和TL X寄存器;

启动定时器/计数器,即将TR X置位。

如果工作于中断方式,需置位EA(中断总开关)及ETX(允许定时/计数器中断),并编写中断服务程序。

三、流程图:

篮球比赛中,得分可分为1分、2分、3分、减1、交换、控制六种情况,根据这样的设计要求,结合数码管的显示以及51单片机中计数器的工作实现方式、接口应用、中断控制等可设计出程序流程图如下所示:

四、仿真图和实际焊接图:

4.1 Proteus仿真图:

在用Protues进行仿真之前,先要用WA VE软件将我们编写好的汇编程序编译。具体方法是先新建一项目,之后输入编写的程序,输入完成后保存然后执行项目、编译,在目标文件夹内生成编译好的程序文件,其中*.HEX文件就是我们所需要的,在之后电路设计好后用于放入AT89C51中执行其功能。

在生成*.HEX文件后,进入Protues软件,此时软件已自动打开一新建项目。我们可直接在其中构建电路图,点击板面左侧按键P,在弹出的Pick devices对话框中进行元器件的选择,选择实训过程中所需求的元器件绘制出电路图,其仿真图如图1-1:

图1-1电路仿真图

AT89C51实现其功能,按下S1键,总分加1分;按下S2键,总分加2分;按下S3键总分加3分;按下S4键,总分减去一分;按下S5键交换红蓝两对分数;按下S6键对红蓝两队分数进行控制。

注意:仿真过程中可以省略晶振、三极管、电阻等以便于观察结果,在焊接电路板时,这些元件必须焊接上去。

4.2 实际焊接图:

实际焊接图分为正反两面,正面如图1-2,反面如图1-3:

其晶振和复位电路均焊接在芯片底座内。

正面焊接电路图1-2

五、程序代码:

数码管的显示,在TAB中加入数码管显示数字时对应的16进制数

程序清单:

org 0000h

ajmp main

org 000bh

ajmp dscx

org 0030h

main: mov sp,#60h

mov tmod,#11h

mov th0,#0fch

mov tl0,#18h

setb p1.0

clr p1.1

mov p3,#0ffh

mov 30h,#00h

mov 31h,#00h

mov r1,#32h

mov r2,#11h

lcall jscx

setb ea

setb et0

setb tr0

ljmp ajcx

org 0060h

ajcx: jnb p3.0,s0

jnb p3.1,s1

jnb p3.2,s2

jnb p3.3,s3

jnb p3.4,s4

jnb p3.5,sz5

ljmp ajcx

sz5: ljmp s5

s0: lcall del

jb p3.0,s01

ljmp ajcx

s01: jnb p1.0,s02

mov a,30h

inc a

mov 30h,a

ljmp tzcx s02: mov a,31h

inc a

mov 31h,a

ljmp tzcx s1: lcall del

jb p3.1,s11

ljmp ajcx

s11: jnb p1.0,s12

mov a,30h

add a,#02h

mov 30h,a

ljmp tzcx s12: mov a,31h

add a,#02h

mov 31h,a

ljmp tzcx s2: lcall del

jb p3.2,s21

ljmp ajcx

s21: jnb p1.0,s22

mov a,30h

add a,#03h

mov 30h,a

ljmp tzcx s22: mov a,31h

add a,#03h

mov 31h,a

ljmp tzcx s3: lcall del

jb p3.3,s31

ljmp ajcx

s31: jnb p1.0,s32

mov a,30h

dec a

mov 30h,a

ljmp tzcx s32: mov a,31h

dec a

mov 31h,a

ljmp tzcx s4: lcall del

jb p3.4,s41

ljmp ajcx

s41: push 30h

push 31h

pop 30h

pop 31h

lcall jscx

ljmp ajcx

jb p3.5,s51

ljmp ajcx

s51: cpl p1.0

cpl p1.1

ljmp ajcx

org 0120h

tzcx: lcall jscx

ljmp ajcx

org 0130h

del: mov tl1,#00h

mov th1,#0bh

setb tr1

jnb tf1,$

mov tl1,#00h

mov th1,#0bh

clr tf1

ret

org 0150h

dscx: mov th0,#0fch

mov tl0,#18h

mov a,r2

rl a

mov r2,a

cjne r2,#11h,d1

mov r1,#32h

reti

d1: cjne r2,#22h,d2

mov r1,#33h

lcall jscx

reti

d2: cjne r2,#44h,d3

mov r1,#34h

lcall jscx

reti

d3: mov r1,#35h

lcall jscx

reti

org 0190h

jscx: mov a,30h

mov b,#0ah

div ab

mov 33h,b

mov 32h,a

mov a,31h

mov b,#0ah

div ab

mov 35h,b

mov 34h,a

mov p2,r2

mov dptr,#tab

mov a,@r1

movc a,@a+dptr

mov p0,a

ret

org 0220h

tab: db 0c0h,0f9h,0a4h,0b0h,99h,92h,82h,0f8h

db 80h,90h

end

六、心得体会:

在这次实训中,通过编程用WAVE软件将我们编写好的汇编程序编译。具体方法是先新建一项目,之后输入编写的程序,输入完成后保存然后执行项目、编译,在目标文件夹内生成编译好的程序文件,在生成*.HEX文件后,进入Protues软件,选择其所需的元器件,绘制出电路图。P0.0~P0.7口接7段共阳极数码管。P2.0~P2.3口接数码管的COM引脚;P3.0~P3.5接6个开关,通过对6个开关的

操作实现篮球计分器的功能。

仿真过程中,不需要考虑晶振以及三极管压降的影响,在仿真中,我只使用了简单的元器件,加载HEX文件以后,初步实现了篮球计分器的功能。在实际焊接中,考虑的问题要比仿真全面,我在焊接的过程中,P2口接数码管的COM 用于对数码管的控制。该方案使用共阳极数码管的com焊接时接C9012三极管的C极和电阻用于驱动数码管。B 极接1K电阻后连接到P2口, E 级接5V电源.。P3口接6个开关分别作为比分+1、+2、+3、-1 交换红蓝两队切换的控制按键。P0口接七段显示数码管,根据相应的管脚焊接。焊接的过程中需考虑其晶振、复位电路等相关问题。

实训中,通过仿真和实际焊接验证结果,在仿真和焊接的过程中解决一些问题。通过实训,对单片机进一步的了解。

七、参考文献:

1、Proteus仿真软件简介

Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。

在Protues中进行电路仿真时,先绘制好原理图,再调入已编译好的目标代码文件:*.HEX,随后便可在PROTEUS的原理图中模拟的实物运行状态和过程,进行观察从而改良自己的设计方案。操作简单,非常适合初学者进行单片机的仿真,进而了解其原理,增强实践。

2. 《51系列单片机》实验指导书

3. 单片机原理及接口技术

单片机篮球计时计分器报告

单片机课程设计结题报告《篮球比赛计时计分器》

团队成员分工情况: XX:完成实验报告的总体撰写,焊接方案设计和电路图的绘制,程序编写改进 XX:完成元器件采集,辅助电路焊接,电路图修整以及实验报告的完善 XX:完成程序编写烧录,电路焊接,以及PCB 版图的绘制 其中设计方案的确立由团队成员一起讨论而成

目录 1选题背景 (3) 2方案设计 (4) 2.1硬件电路设计 (5) 2.1.1硬件系统各功能模块的电路 (5) 2.1.2硬件系统的实现 (9) 2.1.3硬件系统的调试 (10) 2.2软件设计、编程及调试 (10) 2.2.1系统软件的模块程序设 (10) 2.2.2编程实现 (11) 2.2.3软件系统的调试 (11) 3系统联调及最终实现结果展示 (11) 4总结 (12) 5 收获与致谢 (13) 6 参考文献 (14) 7附件 (15)

1 选题背景 单片机,亦称单片微电脑或单片微型计算机。它是把中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、输入/输出端口(I/0)等主要计算机功能部件都集成在一块集成电路芯片上的微型计算机。计算机的产生加快了人类改造世界的步伐,但是它毕竟体积大。微计算机(单片机)在这种情况下诞生了,它为我们改变了什么?纵观我们现在生活的各个领域,从导弹的导航装置,到飞机上各种仪表的控制,从计算机的网络通讯与数据传输,到工业自动化过程的实时控制和数据处理,以及我们生活中广泛使用的各种智能IC卡、电子宠物等,这些都离不开单片机。 由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家、测控技术企业、机电行业,竞相把单片机应用于产品更新,作为实现数字化、智能化的核心部件。 本设计由STC89C52编程控制LED七段数码管作球赛计时计分系统具有赛程定时设置、赛程时间暂停、性能稳定、操作方便且易携带等特点。可广泛适合各类学校和小团体作为赛程计时计分。

单片机课程设计报告-篮球计时计分器

2010 ~ 2011 学年第 2 学期 《单片机应用系统设计与制作》 课程设计报告 教学院(部)电气与电子信息工程学院 教研室电气自动化 指导教师 课程设计时间 2011.5.30~2011.6.10 课程设计班级电气自动化技术2009(*)班 学号 2009******** 姓名张 * *

单片机应用系统设计与制作课程设计成绩评定表 课程设计题目:篮球计时记分器 课程设计答辩或质疑记录: 1、 2、 成绩评定依据: 成绩评定依据: 课程设计考勤情况(20%): 课程设计答辩情况(30%): 完成设计任务及报告规范性(50%): 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2011 年 6 月20 日

摘要 篮球计时计分器以单片机为核心,由计时器、计分器、综合控制器等组成。系统采用模块化设计,主体分为计时显示模块、计分显示模块、定时报警、按键控制键盘模块。每个模块的程序结构简单、任务明确,易于编写、调试和修改。编程后利用Keil软件来进行编译,再将生成的HEX文件装入芯片中,采用Proteus软件仿真,检验功能是否能够正常实现,本设计中系统硬件电路主要由以下几个部分组成:单片机AT89C52、计时电路、计分电路、报警电路和按键开关。该系统具有赛程定时设置、赛程时间暂停、及时刷新甲乙双方的成绩以及赛后成绩暂存等功能。 关键词:单片机,计时,计分,显示器,接口 ABSTRACT Time basketball scoring device as the core of SCM includes the timer, scoring devices, integrated controller and other components.This system is used of the modular design, in which the main display module is divided into time display module, scoring display module, timing alarm module, and key control keyboard module. Program structure of each module is simple and clear. So it is easy to write, debug and modify. After programming, firstly we can use Keil software to compile and then generate the HEX file into the chip. Secondly we use the Proteus software simulation to test whether the normal function to achieve. The design of hardware circuit mainly consists of the five components, including AT89C52, timing circuit, scoring circuit, alarm circuit and key switch circuit.The system has many features,such as setting the schedule time, scheduling time to pause, refreshing result of both parties timely, storing temporarily results after the match and so on. KEY WORDS:Microcontroller, Timing, Scoring, Display, Interface

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

篮球赛记分牌设计分析

篮球赛记分牌设计 前言 篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。 篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。 1 系统总体设计方案 随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。 本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

基于51单片机的篮球比赛电子记分牌_仿真图+程序

NEPU 课程设计 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌院系电气信息工程学院测控系 专业班级测控技术与仪器**-*班 学生XX **** 学生学号************ 指导教师**** **** 201*年* 月*日

NEPU课程设计任务书 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 专业测控技术与仪器****学号************* 一、任务 以AT89C51单片机为控制核心,遵循篮球比赛规则,设计一款具有记录比赛分数,比赛剩余时间等基本功能的电子记分牌。 二、设计要求 [1] 要求能实时记录比赛的分数、剩余时间。 [2] 通过对AT89C51单片机的编程,实现比分和剩余时间的显示。 [3] 设计篮球24秒定时器、剩余5秒时发出提示音。 [4] 提交设计报告、电路图及程序源码。 三、参考资料 [1] 毅刚.单片机原理及应用[M].:高等教育,2004. [2] 润华,立山.模拟电子技术[J].:石油大学,2003. [3] 周润景,丽娜等.PROTEUS入门教程[M].:机械工业,2007. [4] 仕.电工电子制作基础[M].:华中科技大学,2008. [5] 介华.电子技术课程设计指导[J].:高等教育,1997. 完成期限 指导教师 专业负责人******

201*年*月**日

目录 第1章绪论1 1.1 单片机在体育赛事计分的应用1 1.2 本设计任务2 第2 章总体方案论证与设计2 2.1 显示模块2 2.2 按键控制模块3 2.3 报警模块3 2.4 总体硬件组成框图3 第3章系统硬件设计5 3.1 LCD1602显示模块的硬件设计5 3.2按键控制模块的硬件设计6 3.3 蜂鸣器报警模块的硬件设计7 3.4 单片机最小系统的硬件设计7 第4章系统的软件设计9 4.1 主程序设计9 4.2 按键控制程序设计10 4.3 蜂鸣器报警程序设计11 第5章系统调试与测试结果分析13 5.1 系统调试13

基于51单片机的篮球计时计分器

《计算机系统与接口技术》课程设计报告 题目:篮球记时记分器 姓名: 学号: 班级: 专业:电子信息科学与技术 信电学院 2010年12月24日

徐州工程学院信电学院课程设计任务书2010-2011学年第1学期

徐州工程学院课程设计 摘要 本设计是采用AT89S51单片机为核心设计的一个用于赛场的篮球计时计分器。本设计采用定时器T0中断计时,显示部分分为计时和计分显示两部分,均采用共阴极LED显示。计时部分可以调整分钟,足以满足一般赛程需要。两个显示模块均采用动态扫描方式显示。 在本设计中P0(P0.1—P0.6)口是时间和分数的段码数据输出口,P2口是时间和分数的位选数据输出口,本设计共有九个设置按键,add1、add2是加分键(add1按一次加一分,add2按一次加二分),minus1是减分键(按一下减一分),exchange是分数位置交换键,bstop是关蜂鸣器键,start是开始计时键键(按键按下开始倒计时),stopagain是时间暂停键,turn是切换键(用于加分键的切换),timeadd是时间设定键。按键与P1口相接,低电平输入有效。报警部分由有蜂鸣器及其驱动电路组成。定时时间到,扬声器报警,比赛结束,按bstop 键可停止报警(否则一直报警)。本次设计的篮球计时计分器具有以下的功能:(1)能记录整个赛程的比赛时间,并能修改比赛时间。 (2)能随时刷新甲、乙两队在整个比赛过程中的比分。 (3)中场交换比赛场地时,能交换甲、乙两队比分的位置。 (4)比赛结束时,能发出报警声。 (5)可随时接受暂停请求。

计算机原理与接口技术 目录 1 概述 (3) 1.1 单片机简介 (3) 1.2 课程设计的意义 (4) 1.3 任务与要求 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案设计 (5) 2.2 硬件系统设计 (5) 2.2.1 单片机选择 (5) 2.2.2 时钟电路模块 (6) 2.2.3 复位电路模块 (7) 2.2.4按键控制键盘模块 (7) 2.2.5 显示模块和定时报警模块 (8) 3.1 软件总体设计方案 (10) 3.2 程序模块设计 (10) 4 PROTEUS软件仿真 (12) 5 课程设计体会 (14) 参考文献 (14) 附1 源程序代码 (15)

数电课程设计--篮球计分器设计

《数字电子技术》课程设计题目:篮球比赛记分器设计 学院:信息科学与技术学院 专业:电子信息工程 班级:2010级(2)班 姓名:马慧2010508121 张驰2010508125 2012年7月6日

篮球比赛记分器设计 一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

三、电路的设计 1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、

单片机原理及指导应用实训资料报告材料:实篮球记分牌

电子科技大学信息科技学院单片机原理及应用实训报告 题目篮球计分牌 学号0951100228 姓名锎 指导老师桂明锟志鹏 2011 年9 月23 日

1.系统设计 1.1设计要求 1.1.1.基本要求 1 设计一个基于单片机的篮球计分牌,实现两队的计分功能与24秒计时功能 2 结合硬件能够正常计分 3 能够正常显示分值。 4 能够正常修改工作模式(计分与24秒) 5 能够24秒的误差不小于1秒 1.1. 2.发挥部分 1 12分钟倒计时 2 能够两队球员犯规次数计数 3 重置24秒表 4 倒计时结束报警 5 12分钟倒计时结束红灯全部亮 1.2设计原理 1.2.1 框图设计 1.2.2 程序流程 1.2.2.1加分程序流程

1.2.2.2犯规程序流程 1.2.2.3 选择显示程序流程

1.3方案比较 1.3.1 方案1:是通过按不同的键来切换不同的输入,使用不同的LED灯的亮灭来显示不同的队加分。 电路图: 1.3.2 方案2:通过一个键来切换分数,24s倒计时,12分钟倒计时和犯规次数,通过LED灯的亮来判断是那个队加分,那个队犯规,12分钟倒计时结束。另外,给a队b队加分和加犯规次数。通过按键,选择哪种显示方式,暂停/开始24s倒计时,重置24s; 电路图:

1.4方案论证 1.4.1总体思路 7个按键实现对应功能:P1^6对应a队加分,第一个红灯亮;P1^0对应b队加分,第二个红灯亮;P1^1对应显示切换,切换有四类显示方式,第一类是a队跟b队的比分显示,且各两个数码管显示。第二类是24s倒计时的显示。第三类是12分钟倒计时的显示。第四类是a队跟b队的犯规次数显示;P1^2对应24秒倒计时暂停/开始;P1^3对应重置24s倒计时,同时24s倒计时暂停;P1^4对应a队加犯规次数,同时第三个红灯亮;P1^5对应b队加犯规次数,同时第四个红灯亮;外加复位键,按下则清零;24秒倒数为0时蜂鸣器响起警报,重新倒数时蜂鸣器关闭。12分钟倒计时结束时,蜂鸣器响起警报,红灯全亮。 1.4.2设计方案 使用了延时子程序(delay)用来按键消抖,还有定时中断程序来显示数码管,启止24s倒计时,12分钟倒计时,使用了P0口作为数码管的段选和P2口的位选,使用P1口作为按键作为输入,P3口的发光二极管作为判断a队和b队的选择和作为模式的选择部分和蜂鸣器作为倒计时24秒的计时的时间到;在主程序里面有各按键的功能,a队加分,b队加分,切换功能,重置24s功能,a队加犯规,b队加犯规; 2、模块电路的设计

单片机篮球计时计分器

《单片机技术及其应用》 课程设计报告 题目:篮球计时计分器 班级:通信本科1班 学号: 姓名: 同组人员: 指导教师:敏 2014 年12 月15 日

目录 1概述 (1) 1.1单片机简介 (1) 1.2课程设计的意义 (2) 1.3设计的任务和要求 (2) 2系统总体方案及硬件设计 (2) 2.1 系统总体方案设计 (2) 2.2 单片机选择 (3) 3 系统的硬件设计 (4) 3.1 时钟电路模块 (4) 3.2 键盘控制模块 (4) 3.3 显示模块 (5) 3.4定时报警模块 (6) 4 系统的软件设计 (6) 4.1软件设计总流程图 (6) 4.2 定时初值计算 (7) 4.3 各部分程序功能分析 (8) 5 系统的Proteus仿真 (13) 6 总结 (14) 7 指导老师意见 (15) 参考书目: (15) 附录 C语言源程序 (16)

篮球计时计分器 1概述 1.1单片机简介 单片机,全称为单片微型计算机(Single Chip Microcomputer),即把组成微型计算机的各个功能部件如中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、输入/输出端口(I/0)、定时器/计数器以及串行通信接口等(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)都集成在一块芯片上,构成的一个完整的微型计算机。 由于单片机的集成度很高、功能强、通用性好、特别是它的体积小、重量轻、功耗低、价格便宜、可靠性高、抗干扰能力强和使用方便等优点、使得单片机得到了迅速推广应用、已远远超出了计算机科学的领域。 单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机—AT89系列单片机。它不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点。此次设计中所用到的AT89S52就是其中典型的代表。 由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家,测控技术企业,机电行业,竞相把单片机应用于产品更新,作为实现数字化,智能化的核心部件。篮球计时计分器就是以单片机为核心的计时计分系统,由计时器,计分器,综合控制器和24秒控制器等组成。

数电课程设计-篮球计分器

XXXXXX大学 数字系统课程设计报告 题目:数字篮球计分器电路设计 学年:2016学期:第一学期 专业:网络工程班级:XXXX 学号:XXXXXXXXX 姓名:XXXX 指导教师及职称:XXXX 讲师 时间:2016年10月15日-2016年10月19日 XXXXXX学院

设计课题题目:数字篮球计分器电路设计 一、同组成员:XXX XXX XX XXX 组长:XXXX 二、设计任务与要求 1.分别记录两队得分情况; 2.进球得分加2分或3分,罚球进球得分加1分; 3.纠正错判得分减3分、2分或1分; 4.分别用三个数码管显示器记录两队的得分情况; 功能描述: 1、加分功能,当按下相应的按键开关S1、S 2、S3时,分别可以进行加1、2、3分; 2、减分功能,当将加减分置换开关S4拨到减分档时,按下开关S1、S2、S3,可以进行减分操作; 3、清零功能,当按下S0时,可以将积分清为零。 二、电路原理分析与方案设计 据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。用三片四位二进制加法计数器74LS160组成二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。译码器显示器用于显示分数。

方案设计: 1. 总体设计思路(含电路原理框图): 电路的核心模块是加减分和累加积分电路的设计,我们采用的总体方案是,用时钟信号电路产生的脉冲信号给加减分电路提供时钟信号,之后将加减分电路发出的信号接到累加器上进行总分的累加,然后在数码显示电路上显示出来。 电路的原理框图如下图所示: 鉴于电路可以分为多个模块,因此我们将设计任务分配到每一位组员如下: 1. XXX: 脉冲电路设计与总体电路设计 2. XXX :总体电路设计与脉冲电路设计 3. XXX :译码器设计与部分电路仿真 4. XXX :计数器设计与总体电路仿真 5. XXX :控制电路设计与资料查阅 6. XXX :控制电路设计与实验报告整理 2. 主要元件介绍

2020年篮球计分器开题报告

篮球计分器开题报告 篮球计分是篮球比赛的准备基础,关于它的设计也能影响到篮球的比赛。 石河子大学 毕业论文开题报告 课题名称:基于单片机的便携式篮球计分计时系统设计 学生姓名:朱宝军 学号:学院:机械电气工程学院 专业、年级:电气工程及其自动化12级2班 指导教师:张晓海 职称:副教授 毕业论文(设计)起止时间: 目录 1课题名称及 (1) 2研究的目的意义及国内外研究现状 (1) 2.1选题的目的及意义 (1)

2.2国内外研究现状 (1) 3课题的研究内容、目标及可行性分析 (2) 3.1研究内容 (2) 3.2研究思路和方法 (2) 3.3研究目标 (2) 3.4可行性分析 (3) 4关键问题和难点分析 (3) 4.1课题研究的关键问题 (3)

4.2课题研究的难点分析 (3) 4.3进度计划 (3) 参考文献 (4) 1课题名称及: 名称:基于单片机的便携式篮球计分计时系统设计 :老师推荐 2研究的目的、意义和国内外研究现状: 2.1选题的目的及意义 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪

基于单片机的篮球计分器设计毕业设计

摘要 随着电子科技、信息通信技术的快速发展,嵌入式单片机应用越来越广泛。在HMOS技术大发展的背景下,Intel公司在MCS-48系列的基础上,于1980年推出了8位MCS-51系列单片机。它与以前的机型相比,功能增强了许多,就其指令和运行速度而言,超过了INTEL8085的CPU和Z80的CPU,成为工业控制系统中较为理想的机种。较早的MCS-51典型时钟为12MHz,而目前与MCS-51单片机兼容的一些单片机的时钟频率达到40MHz甚至更高,现在已有400MHz的单片机问世。篮球记分器是一种得分记录工具,由多种电子设备组成。以单片机为核心的篮球记分器造价便宜,使用简单,体积小等特点。

第1章绪论 1.1 单片机简述 单片机就是在一块半导体硅片上集成了微处理器(cpu),存储器(RAM,ROM,EPROM)和各种输入、输出接口(定时器/计数器,并行I/O接口,串行口,A/D转换器以及脉宽调制器PWM等),这样一块集成电路芯片具有一台计算机的属性,因此被称为单片微型计算机,简称单片机。 单片机主要应用于测控领域,用以实现各种测试和控制功能。为了强调其控制属性,在国际上,多把单片机称为微控制器MCU(MicroController Unit)。单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机的优点是体积小、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易。例如,80C51系列单片机已有十多年的生命期,如今仍保持着上升的趋势,就充分证明了这一点。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机——AT89系列单片机。他不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点,此次设计中所用到的AT89C51就是其中典型的代表。 1.2 设计意义 单片机的应用是具有高度现实意义的。单片机极高的可靠性,微型性和智能性(我们只要编写不同的程序后就能够完成不同的控制工作),单片机已成为工业控制领域中普遍采用的智能化控制工具,已经深深地渗入到我们的日常生活当中。通过此次基于单片机设计的篮球计时计分系统,我们可以更清楚详细的了解单片机程序设计的基本指令功能、编程步骤和技巧来讲述单片机编程,并对MCS-51单片机的结构和原理进行讲述,以及基于单片机开发应用的相关芯片的工作原理,并且可以在将来的工作和学习中加以应用。

(完整版)篮球赛计时计分器开题报告

华东交通大学理工学院 本科生毕业设计 开题报告 题目:嵌入式篮球赛计分屏的设计 分院:电气与信息工程学院 专业: 班级: 学号: 姓名: 指导教师: 填表日期:2012 年 2 月10 日

目录 一、选题的依据及意义 (2) 1.1选题的依据 (2) 1.2选题的意义 (2) 二、国内外研究现状及发展趋势 (3) 三、本课题研究内容 (4) 四、本课题研究方案 (5) 4.1.硬件设计方案 (5) 4.2.软件设计方案 (6) 五、研究目标、主要特色及工作进度 (8) 六、参考文献 (9) 七、指导老师意见 (10)

一、选题的依据及意义 1.1 选题的依据 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪后,集成在一个芯片上,构成单片微型计算机;“创新模式”则完全按嵌入式应用要求设计全新的,满足嵌入式应用要求的体系结构、微处理器、指令系统、总线方式、管理模式等。Intel公司的MCS-48、MCS-51就是按照创新模式发展起来的单片形态的嵌入式系统。MCS-51是在MCS-48探索基础上,进行全面完善的嵌入式系统,成为单片嵌入式系统的典型结构体系。 体育比赛计时计分器是对体育比赛过程中所产生的时间、比分等数据进行快速采集记录、加工处理、传递利用的工具。篮球比赛的计时计分器是一种得分类型的工具。它由计时器等、计分器等多种电子设备组成。 虽然篮球比赛中很早就开始研究应用了电子计分器,但通常都是利用模拟电子器件、数字电子器件或是模拟、数字混合组成的,其稳定性和高准确度计分仍存在一些问题。以单片机为核心的篮球比赛计分器,计分准确,具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点。 1.2 选题的意义 通过此次基于嵌入式单片机设计的篮球计分屏,可以更清楚地了解单片机程序设计的基本指令功能、编程步骤和技巧,了解AT89C51单片机的结构和原理,了解基于嵌入式单片机的相关外围电路的设计和调试过程,有助于今后的学习和工作生活。

篮球比赛计分器设计

学号: 本科毕业设计 学院 专业 年级 姓名 论文题目篮球比赛计分器设计 指导教师职称 年月日

目录 摘要 (1) Abstract (1) 1绪论 (2) 1.1篮球比赛计分器设计的研究的背景 (2) 1.2研究内容 (2) 1.3设计目标 (3) 2方案选择与论证 (3) 2.1方案一 (3) 2.2方案二 (4) 2.3方案三 (4) 2.4方案选择 (5) 3单元电路设计 (5) 3.1单片机简述 (5) 3.2振荡电路 (6) 3.3控制按键电路 (7) 3.4复位电路 (7) 3.5报警时钟电路 (8) 3.6数码管显示电路 (8) 4软件设计 (10) 4.1 主流程图 (10) 4.2 源程序代码 (11) 5调试结果及分析 (11) 6 结论 (12) 参考文献 (13)

篮球比赛计分器设计 摘要:篮球比赛计分器主要由计分器、计时器等多种电子器件组成。篮球比赛计分器主要实现的基本功能有:开始和暂停、比赛时间倒计时、24秒倒计时、加分操作、蜂鸣报警、时间和比分显示的基本功能。本设计具备比赛过程中数据显示的稳定性及准确性;在比赛进入倒计时24秒之后,该系统会有报警声,即该场比赛结束。随着单片机在各个领域的应用,篮球比赛积分器系统也应用单片机为主要芯片。在用单片机控制的LED七段显示器计时计分以便于在比赛中提高稳定性和准确性。本设计是基于单片机AT89C51的篮球比赛计分系统。利用三个四位8段数码管作为显示器件,有时间显示,每一次进攻24秒显示,每一节分钟倒计时显示,双方比分显示。 关键词:篮球比赛;计分器;24秒倒计时 The design of basketball game scoring device Abstract:Basketball game scoring devices mainly includes scoring devices, calculagraph, and other electronic devices. The basic functions of a basketball game are: start and pause, the game countdown, a 24-second countdown, adding scores, buzzing alarm, displaying time and scores. This design is stable and accurate. When the game is 24 seconds left, the alarm will ring. Then it means game is over. With the application of SCM in various fields, the basketball game system also adopts SCM as the main chip. By using the LED seven-segment display controlled by SCM, it will be more stable and accurate in the game. This design is mainly talking about the scoring system which is based on SCM AT89c51. Using three four eight-segment as display devices, it shows time, every 24 seconds, every minute countdown, scores between two sides. Key words: Basketball game, scoring device, 24 seconds countdown

24秒篮球倒计时数电实验报告

24秒篮球倒计时数电实验报告

法商学院 《数字电路课程设计》 课程设计报告 专业: 应用电子技术 班级: 应电11301 姓名: 周灵 姓名: 李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。

图1-1 24秒计时器系统设计框图 二、单元电路分析 (一)控制电路 控制电路由74LS00芯片和74LS10芯片组成,实现计数器的复位、计数和保持“24”数字显示,以及报警的功能。如图2-1-1为EWB控制电路仿真图。 图2-1-1 EWB控制电路仿真图 (1)开关A:启动按钮、复位按钮 开关A接地时,计数器保持“24”状态不变,处于等待状态; 当开关A闭合时,计数器开始计时,当计数器递减计数到零时,控制电路产生报警信号; 当开关A再次接地时,计数器立即复位到预置数值,即“24”。 (2)开关B:归零按钮 当开关B接高电平时,不管计数器显示任何数值,计数器立即归零,即“00”。(3)开关C:暂停按钮 当暂停/连续开关(开关C)暂停时,计数器暂停计数,显示器保持不变; 当暂停/连续开关(开关C)处于连续时,计数器继续倒计时计数。 (二)秒脉冲发生器 为了给计数器74LS192提供一个时序脉冲信号,使其进行减计数,本设计采用555构成的多谐振荡电路(即脉冲产生电路),其基本电路如图2-2-2。

篮球计分器课程设计

机械与电子工程学院 课程设计报告 课程名称单片机原理及接口技术设计题目篮球计分器的设计 所学专业名称自动化 班级111 学号011123 学生姓名小微 指导教师王老师 2014年5月10日

机电学院数字电子技术课程设计 任务书 设计名称:篮球计分器的设计 学生姓名:小微指导教师:王老师 起止时间:自2013 年 5 月12 日起至2013 年 5 月26 日止 一、课程设计目的 设计一种基于单片机技术对篮球比赛进行简单计分的篮球计分器。 二、课程设计任务: 1、能记录整个赛程的比赛时间,并能随时实现暂停。 2、能随时刷新甲、乙两队在整个过程中的比分, 加分有误时可通过按键实现减分调整。 3、中场交换比赛场地时,能自动交换甲、乙两队比分的位置。 4、比赛结束时,能发出报警声。 5需要调整比赛时间,可通过按键进行加时和减时的调整。 三、基本要求: 1、允许采用MCS-51系列或其他类型单片机。 2、系统程序采用汇编或C语言编制。 3、硬件原理图采用proteus软件绘制。 机电学院单片机课程设计

指导老师评价表

摘要与关键字 (1) 1.绪论 (2) 1.1设计背景 (2) 1.2计任务及要求 (2) 2.系统总体方案设计 (3) 2.1方案设计与选择 (3) 方案一: (3) 方案二: (4) 方案确立: (4) 3.系统硬件设计 (5) 3.1篮球计分器硬件设计的基本要求 (5) 3.1.1硬件设计基本要求 (5) 3.1.2引脚应用说明 (5) 3.1.3引脚排列图 (6) 3.2显示电路 (7) 3.2.1显示电路设计 (7) 3.2.2按键设计 (8) 3.4晶振电路模块 (8) 3.5复位电路模块 (9) 3.6报警模块 (9) 4. 系统程序设计 (10) 4.1 设计思想 (10) 4.2 主程序设计 (10) 5.仿真验证 (12) 6.结论 (13) 参考文献 (14) 附录一 (15) 附录二 (16)

基于单片机的比赛记分牌设计

课程设计(论文)说明书 题目:基于单片机的比赛记分牌设计 院(系):机电工程学院 专业:机械设计制造及其自动化 学生姓名: 学号: 指导教师: 职称: 2015年 12月 18日

摘要 本论文介绍了以AT89S52为核心控制部件,并制作成为最小系统,兼备输入信号的识别与处理与输出信号的控制;其主要功能为实现体育竞赛的简单记分功能;事先在单片机内部写入控制程序,通过用户按键,对单片机输入不同的控制信号,即可实现分数的加与减、权值的灵活调整、蜂鸣提醒、手动式计数复位以及具体数字显示等功能。整个设计流程分为硬件设计与软件设计两大部分,但本文仅着重叙述硬件设计部分。 关键字:AT89S52;单片机;记分系统 Abstract In thispaper introduces one kind of scores counting function that take the 51 single chip microcomputer as the primary control unit. The scores counting, weight changing, buzzing when number changes and display the number is the major function in this minimum system. The whole process can be divided into two different parts. The one is hardware design, and the other one is software. But the paper tends to introduce the part of hardware design. Keywords:AT89S52;MCU;Counting System

相关主题
文本预览
相关文档 最新文档