当前位置:文档之家› 彭胜-基于VHDL的电子密码锁设计与实现正文

彭胜-基于VHDL的电子密码锁设计与实现正文

彭胜-基于VHDL的电子密码锁设计与实现正文
彭胜-基于VHDL的电子密码锁设计与实现正文

1 引言

电子密码锁的使用体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。目前设计密码锁的方法很多,例如用传统的PCB 板设计、用PLC 设计或者用单片机设计。而用V HDL 可以更加快速、灵活地设计出符合各种要求的密码锁,优于其他设计方法,使设计过程达到高度自动化。

本设计在Max + plus Ⅱ的环境中进行,用Al2tera 公司ACEX 1 K系列的EP1 K30 TC14423 来实现。ACEX 1 K是Altera 公司着眼于通信、音频处理及类似场合的应用而推出的FPGA 器件芯片系列,其典型门数为10 万门,是当今Altera 多种产品中应用前景最好的器件系列之一。

EDA 技术设计电子系统具有用软件的方式设计硬件;设计过程中可用有关软件进行各种仿真,系统可现场编程、在线升级,整个系统可集成在一个芯片上等特点;不但设计周期短、设计成本低,而且将提高产品或设备的性能,缩小产品体积、提高产品的技术含量,提高产品的附加值。

用VHDL设计电子密码锁方案:作为通用电子密码锁,主要由 3 个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路,作为电子密码锁的输入电路。可供选择的方案有数字机械式键盘和触摸式数字键盘等多种。

(1)密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路。

(2)密码锁控制电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄存器清除信号发生电路),密码核对(数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路。

(3)七段数码管显示电路主要将待显示数据的BCD码转换成数码器的七段显示驱动编码。

1.1 课题背景

随着社会的发展和人们生活水平的提高,人们的安全意识也逐步加强。传统的机械锁由于其构造的简单,失效的事件屡见不鲜,如何实现保密防盗这一问题

变的尤其的突出,密码锁以其安全性高、成本低、功耗低、易操作等优点受到越来越多人的欢迎。

基于VHDL语言的电子密码锁是新型现代化安全管理系统,它集微机自动识别技术和现代安全管理措施为一体,它涉及电子,机械,计算机技术,通讯技术,生物技术等诸多新技术。它是解决重要部门出入口实现安全防范管理的有效措施,适用各种场合,如银行、宾馆、机房、军械库、机要室、办公间、智能化小区、工厂、家庭等。

在数字技术网络技术飞速发展的今天,电子密码锁技术得到了迅猛的发展。它早已超越了单纯的门道及钥匙管理,逐渐发展成为一套完整的出入管理系统[1]。它在工作环境安全、人事考勤管理等行政管理工作中发挥着巨大的作用。在该系统的基础上增加相应的辅助设备可以进行电梯控制、车辆进出控制,物业消防监控、餐饮收费、私家车库管理等,真正实现区域内一卡智能管理。

目前使用的电子密码锁大部分是基于单片机技术, 以单片机为主要器件, 其编码器与解码器的生成为软件方式。在实际应用中, 由于程序容易跑飞, 系统的可靠性能较差[2]。基于VHDL语言的电子密码锁已经是现代生活中经常用到的工具之一,用于各类保险柜、房门、防盗门等等。用电子密码锁代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点。

1.2 课题目的

电子杂志、报刊经常刊登有密码开关、密码锁这样的电路,大多数是使用常用的数字电路,如CD4017,然后通过不同的连接方式实现密码控制功能。这种电路的特点就是密码修改只能通过改变电路的连接来实现,密码很容易被破解,电路复杂,故障率高。本制作是针对这些电路而设计的,将以往的以单片机实现设计改为可编程器件FPGA利用VHDL编程实现电子密码锁的设计。这种设计移动方便。基于FPGA的电子密码锁具有保密强、灵活性高、适用范围广等特点,它在键盘上输入,与打电话差不多,因而易于掌握,其突出优点是“密码”是记在被授权人脑子里的数字和字符,既准确又可靠,不会丢失(除了忘记),难以被窃(除非自己泄露)。但是密码不能太简单,太简单了就容易被他人在键盘上试探出来,或者可能被旁观者窥测出来,造成保密性不足。当然,密码又不能太复杂,太复杂了可能自己都糊涂了,或者输入密码操作成功率低,造成使用不便。

因此,为了发扬优点、克服弱点,本设计采用“任意设定数字密码”技术使得被授权人可以根据自己的需要或喜好设定密码,常用常新,在输入密码的过程中,当用户键入错误密码时,系统就会报警,由扬声器发出5秒报警声,当连续三次出现密码错误时,则系统会长时间报警不止,这时必须按复位方可停止。

本设计的电子密码锁的特点是:体积小、功耗低、价格便宜、安全可靠,维护和升级都十分方便,具有较好的应用前景。它与传统锁具的不同之处在于:它与可编程逻辑器件实现系统的设计,应用简洁清晰的VHDL语言实现设计编程思想,能够实现适时、智能控制管理功能,特别是在系统的扩展上有很好的优势。

1.3 课程设计的内容

本次课程设计成功地设计了一个简单的数字电子密码锁,密码为 4 位。将电子密码锁分为以下几个模块:方波生成模块、消抖同步模块、密码锁逻辑控制模块和密码锁显示模块,实现了以下功能:

(1)密码输入:每按下一个键,要求在数码管上显示,并依次左移;

(2)密码清除:清除密码输入,并将输入置为”8888”;

(3)密码修改:将当前输入设为新的密码;

(4)上锁和开锁

2 EDA、VHDL简介

2.1 EDA简介

EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL(Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。也就是说,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。

适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最终的下载文件,如JED文件。适配所选定的目标器件(FPGA/CPLD芯片)必须属于在综合器中已指定的目标器件系列。

硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。HDL语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。目前,就FPGA/CPLD开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。

2.2 VHDL

2.2.1 VHDL语言简介

目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能要求,自上而下地逐层完成相应的描述、综合、优化、仿真与验证,直到生成器件,实现电子设计自动化。其中电子设计自动化EDA (即Electronic Design Automation)的关键技术之一就是可以用硬件描述语言(HDL)来描述硬件电路。VHDL(VHSIC Hardware Description Language)是用来描述从抽象到具体级别硬件的工业标准语言,它是由美国国防部在20 世纪80 年代开发的HDL ,现在已成为IEEE承认的标准硬件描述语言。VHDL 支持硬件的设计、验证、综合和测试,以及硬件设计数据的交换、维护、修改和硬件的实现,具有描述能力强、生命周期长、支持大规模设计的分解和已有设计的再利用等优点[13]。

VHDL 主要用于描述数字系统的结构、行为和功能,其程序结构特点是将一个电路模块或一个系统分成端口和内部功能算法实现两部分。对于一个电路模块或者数字系统而言,定义了外部端口后,一旦内部功能算法完成后,其他系统可以直接依据外部端口调用该电路模块或数字系统,而不必知道其内部结构和算法。VHDL 的特点使得电子系统新的设计方法——“自顶向下”设计方法更加容易实现[14]。可以先对整个系统进行方案设计,按功能划分成若干单元模块,然后对每个单元模块进一步细分,直到简单实现的单元电路。

2.2.2 VHDL语言的特点

VHDL语言主要用于描述数字系统的结构、行为、功能和接口,其具有以下特点:

(1)作为HDL的第一个国际标准,VHDL具有很强的可移植性;

(2)具有丰富的模拟仿真语句和库函数;

(3)VHDL有良好的可读性,接近高级语言,容易理解;

(4)系统设计与硬件结构无关;

(5)支持模块化设计;

(6)用VHDL完成的一个确定设计,可以利用EDA工具自动地把VHDL描述转变成门电路级网表文件。

2.2.3 VHDL语言的优点

与其他硬件描述语言相比,VHDL语言有如下优越之处[15]:

(1)VHDL语言支持自上而下(Top Down)和基于库(LibraryBase)的设计方法,还支持同步电路、异步电路、FPGA以及其他随机电路的设计;

(2)VHDL语言具有多层次描述系统硬件功能的能力,可以从系统的数学模型直到门级电路,其高层次的行为描述可以与低层次的RTL描述和结构描述混合使用,还可以自定义数据类型,给编程人员带来较大的自由和方便;

(3)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必关心最终设计实现的目标器件是什么;

(4)VHDL具有电路仿真与验证功能,可以保证设计的正确性,用户甚至不必编写如何测试相量便可以进行源代码级的调试,而且设计者可以非常方便地比较各种方案之间的可行性及其优劣,不需做任何实际的电路实验;

(5)VHDL语言可以与工艺无关编程;

(6)VHDL语言标准、规范,易于共享和复用。

2.2.4 VHDL语言的基本结构

VHDL有五大元素组成,即实体、结构体、配置、程序包和库[16]。具体说明如下:(1)实体(ENTITY)

程序是设计的基本模块和初级单元,在分层设计中,顶层有顶层实体,含在顶层实体中的较低层的描述为底层实体.配置把顶层实体和底层实体连接起来.实体说明语句仅对本实体与外部电路接口进行描述.

(2)结构体(ARCHITECTURE)

结构体也叫构造体,描述了实体的结构、行为、元件及内部连接关系,也就是说它定义了设计实体的功能,规定了设计实体的数据流程,制定了实体内部元件的连接关系。

(3)配置(CONFIGURATION)

配制用于将元件实例与实体-结构成对绑定,决定了哪个结构体于实体关联。(4)程序包(PACKAGE)

程序包是经常使用的数据类型和子程序的集合,可以认为是VHDL的工具箱,主要对程序包中的常量、数据类型和子程序进行格式描述,类似于C语言中的

头文件。

(5)库(LIBRARY)

库具体对程序包声明的数据类型和子程序进行功能说明。

2.2.5 VHDL语言的应用

硬件描述语言已成为当今以及未来电子设计自动化(EDA)解决方案的核心,特别是对于深亚微米复杂数字系统的设计,硬件描述语言具有独特的作用。VHDL在数字电子电路的设计中具有硬件描述能力强、设计方法灵活等优点[17]。利用硬件描述语言VHDL,数字电路系统可从系统行为级、寄存器传输级和门级三个不同层次进行设计,即上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化(EDA)工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接着,再用专用集成电路(ASIC)或现场可编程门阵列(FPGA)自动布局布线工具,把网表转换为要实现的具体电路布线结构。目前,这种高层次设计(highleveldesign)的方法已被广泛采用。据统计,目前在美国硅谷约有90%以上的ASIC和FPGA采用硬件描述语言进行设计。VHDL 的应用已成为当今以及未来EDA解决方案的核心,而且是复杂数字系统设计的核心[18]。

3 基于VHDL的电子密码锁设计过程

3.1 设计规划

电子密码锁的结构如图3-1所示,由时钟脉冲发生器、按键、指示灯和控制部分等组成。开关的消抖动电路放在控制部分考虑,时钟输入端CL K由外部时钟脉冲发生器的输出提供。设计中的指示灯就是发光二极管,共计10 个,用来指示系统的工作状态。其中8 个为一组,用来显示已经输入密码的个数,剩余两个,一个为开锁绿色指示灯L T ;另一个为报警红色指示灯L F。控制部分是V HDL 语言设计的核心部分,主要由方波生成模块FEN、消抖同模XIAOPRO、反向器模块INV1 和密码锁逻辑控制模块CEN TRE 这 4 个模块构成,可以

完成密码的修改、设定及非法入侵报警、驱动外围电路等功能。

图3-1 电子密码锁结构

主程序作为整个系统中最重要的部分,设计相当繁琐,而且还承担着其它子程序的连接任务,所以显得尤为重要,如果这个部分出了问题那整个系统将会瘫痪。此电子密码锁系统的主程序需要的标准程序包:USE IEEE.STD_LOGIC _1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL USE IEEE.STD_LOGIC_UN-

图3-2 DEBOLNCING模块原件例化

模块内部信号:

CLK:时钟脉冲输入端口

VCC:模块内部信号,控制清零

Q0:寄存D触发器处理过的信号

Q1:与Q0信号做差分处理

D1:模块内部信号

D0:模块内部信号

QQ0,QQ1 D_OUT1,DD0,DD1是为便于仿真时观察中间结果而增加的观测点的输出端口(删除无影响)。

DEBOUNCING 模块主要程序如下:

U1:DCFQ PORT MAP(CLK=>CLK CLRN=>INV_D PRN=>VCC D=>VCC Q=>Q0);

U2:DCFQ PORT MAP(CLK =>CLK CLRN =>Q0 PRN =>VCC D=>VCC Q=>Q1);

PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK='1'THEN

D0<=NOT Q1;

D1<=D0;

END IF

END PROCESS;

DD0<=D0;DD1<=D1;QQ1<=Q1 QQ0<=Q0;

D_OUT<=NOT(D1 AND NOT D0);

D_OUT1<=NOTQ1;

END ARCHITECTURE ART;

这段程序的作用就是通过对信号的分频处理,从而将按键产生的不规则信号转换为便于识别的按键信号,达到消除抖动的目的。

3.2 各模块原理及程序

电子密码锁的核心部分是控制器,该部分的输入输出信号已有明确的定义如表3.1所示:知道了输入输出后,就可以进行设计了。该电子密码锁设置8 位二进制密码,其功能特点为:

表3.1 电子密码锁控制器输入输出信号定义

(1)采用串行数据输入,可实现启闭开关、指示灯提示和报警等功能;

(2)采用消抖模块,以避免开关的震颤影响;

(3)开锁者只有在正确输入密码后才能重新设置新的密码,以防止非管理员对密码进行任意的修改];

(4)可初始化密码。

数字系统的层次化设计, 一般都要经过 4 个阶段: 设计输入、编译、仿真

验证、下载器件。本设计采用的是自下而上的设计方法, 逐层完成相应的描述、编译、仿真与验证, 即先建立一些低层次的设计, 再将它们组合在一起, 最后形成一个单一的顶层设计文件。Max + plus Ⅱ软件有多种输入方式,主要有: 各种文本输入、原理图输入和波形输入。对于不同层次的模块, 应采用不同的输入方式进行描述。由于V HDL 擅长描述模块的逻辑功能, 而原理图擅长描述硬件连接关系, 所以在底层设计中, 对底层所有模块使用V HDL 语言进行描述, 在顶层设计中, 使用原理图输入方法。

密码锁控制电路主要程序:

KEYIN_PROCESS:BLOCK IS

SIGNAL RST,D0,D1 ,STD_LOGIC;

BEGIN

RST<=RR2;

PROCESS FLAG_N RST IS

BEGIN

IF RST='1'THEN

ACC<="0000000000000000"--CLEAR INPUT

NC<="000";

ELSE

IF FLAG_N'EVENT AND FLAG_N='1'THEN

IF NC<4 THEN

ACC<=ACC 11 DOWNTO 0 &DATA_N;

NC<=NC+1;

END IF;

END IF;

END IF;

END PROCESS;

END BLOCK KEYIN_PROCESS;

以上程序的作用是清除、暂存按键输入数据,每输入一个数字在数码管显示时都左移一位,直到输入位数达到 4 位,4位以后不再显示。

LOCK_PROCESS;BLOCK IS

BEGIN

PROCESS(CLK DATA_F)IS

BEGIN

IF(CLK'EVENT AND CLK='1')THEN

F NC=4 THEN

IF DATA_F 2 ='1'THEN --上锁控制信号有效

REG<=ACC;--密码存储QA<='1';QB<='0';

本设计主要包括方波生成模块、消抖同步模块、密码锁逻辑控制模块和密码锁显示模块,下面分别加以介绍。

3.2.1 方波生成模块

方波生成模块FEN 分频产生占空比为 1 :100的方波,用于消除抖动。分频电路的输入时钟CL K是由外部时钟提供的,外部时钟周期取200ns。FEN 模块程序如下:

L IBRARY IEEE;

USE IEEE. std_logic_1164. ALL ;

ENTITY fen IS

PORT(clk :in std_logic ;

clk1 :out std_logic) ;

END fen ;

ARCHITECTURE fen_arc OF fen IS

BEGIN

PROCESS(clk)

V ARIABL E cnt :integer range 0 to 99 ;

BEGIN

IF clk ! event AND clk = ! 1 ! THEN

IF cnt = 99 THEN

cnt : = 0 ;

clk1 < = ! 1 ! ;

ELSE

cnt : = cnt + 1 ;

clk1 < = ! 0 ! ;

END IF ;

END IF ;

END PROCESS ;

END fen_arc ;

3.2.2 消抖同步模块

键盘的输入电路部分又分为键盘去抖电路和密码锁输入电路,下面先介绍键盘去抖电路部分。键盘消抖部分是由两个小的模块集成为一个去抖电路的,所以在此分开介绍此两个模块。

(1)DCFQ模块

模块外部信号端口:

CLK:时钟脉冲输入端口,为电路提供原始始终信号

CLRM:清零信号输入端口

PRN:置位信号输入端口

Q:信号输出端口

DCFQ模块的主要程序

IF CLRN='0'AND PRN='1'THEN

Q<='0';

LSIF CLRN='1'AND PRN='0'THEN

Q<='1';

ELSIF CLK'EVENT AND CLK='1'THEN

Q<=D;

END IF;

此段程序作用是判断输入信号是否有效,如有效则输出,无效清零。

(2)DEBOUNCING模块

a.模块外部信号端口:

D-IN:信号输入端口

FLAG_N:数字输出标志

FLAG_F:功能输出标志

CLK_CTR:控制电路工作时钟信号

CLK_DEBOUNCE:去抖电路工作时钟信号(仿真时用)

b.模块内部信号:

CLK:电路工作时钟脉冲

C_KEYBOARD:键扫信号“00-01-10-11” 寄存器

C_DEBOUNCE:去抖时钟信号

C:键盘输入去抖后的寄存器

N, F:数字、功能按键译码值的寄存器

FN,FF:数字、功能按键标志值数字、功能按键

SEL:模块内部信号

Z:按键位置信号

对于K1 和K0 信号,它们分别代表 1 和0 的按键开关,可以直接送入密码锁逻辑控制模块CEN2TRE。但由于它们是由按键产生的,其产生时刻和持续时间长短是随机的,并且存在因开关簧片反弹引起的电平抖动现象。因此,必须在每个开关后面安排一个消抖和同步化电路模块XIAOPRO ,目的是保证系统能捕捉到输入脉冲,同时,保证每按一次键只形成一个宽度固定的脉冲[3 ]。在XIAOPRO模块中,因为变量的赋值是直接的,立即生效的,它在某一时刻仅包含一个值,而信号的赋值是有一定附加时延的,故当时钟脉冲下降沿到来时,变量tmp2 和tmp3 在赋值语句执行后立即分别得到新值: tmp1 的值和tmp2 的非值,而输入信号a 经过一定时延赋值给信号tmp1 ,实现了消除抖动并且同步的功能。用来描述输入消抖同步电路功能的V HDL 语言程序如下: L IBRARY IEEE;

USE IEEE. std_logic_1164. ALL ;

ENTITY xiaopro IS

PORT(a ,clk1 :in std_logic ;

b :out std_logic) ;

END xiaopro ;

ARCHITECTURE xiao_arc OF xiaopro IS

SIGNAL tmp1 : std_logic ;

BEGIN

PROCESS(clk1 ,a)

V ARIABL E tmp3 ,tmp2 : std_logic ;

BEGIN

IF clk1 ! event AND clk1 = ! 0 ! THEN

tmp1 < = a ;

tmp2 : = tmp1 ;

tmp3 : = not tmp2 ;

END IF ;

b < = tmp1 AND tmp3 AND clk1 ;

END PROCESS ;

END xiao_arc ;

3.3 密码锁逻辑控制模块

密码锁控制电路组成:

ELSIF DATA_F(0)='1'THEN --开锁控制信号有效

IF REG=ACC THEN --密码核对

QA<='0'QB<='1';

END IF;

ELSIF ACC="1000100010001000"THEN

QA<='0';QB<='1';

END IF;

这段程序的作用是在按下*键时将输入的密码(暂时寄存于ACC中)跟已经存储的密码(REG中的密码信息)进行对比,如果一样,则密码锁开锁。而在通过密码验证后可以按#键重新设定密码。在这里值得注意的是有一个密码“8888”作为万用密码,也就是不论当前密码是什么,只要输入的密码为“8888”则都可通过密码锁。

模块CEN TRE 是整个设计的核心,它实现密码锁的逻辑功能。管理员有权

在任何时候按动密码初始化按键LC ,此时锁内密码设置为程序初始化密码值(在本模块程序中此值为10010101) 。开锁代码为8 位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯L T。否则,系统进入“错误”状态,并发出报警信号。串行数字锁的报警方式是点亮指示灯L F ,并使喇叭鸣叫,直到按下复位开关,报警才停止。此时,数字锁又自动进入等待下一次开锁的状态。该8 位串行电子密码锁设置8 位二进制密码,要求锁内给定的密码是可调的,且设置方便,保密性好。其具体操作分为输入密码和修改密码两部分。

(1)输入密码

密码锁输入电路:

图3-3 密码锁输入电路原件例化

(1)模块外部信号端口

CLK-1K:时钟脉冲输入端口

KEY-IN:按键输入端口

CLK_SCAN:键盘扫描序列仿真时用

DATA_N:数字输出端口

DATA_F:功能输出端口

FLAG_N:数字输入标志

FLAG_F:功能输入标志

EMLOCK:密码锁控制信号输出端口

DATA-BCD:按键显示输出端口

(2)模块内部信号

ACC:暂存键盘输入信息

REG:密码存储位置

RR2:寄存器清零信号

R1,R0:模块内部信号,二者差分生成清零信号

NC:移位寄存器

QA,QB:上锁、解锁控制信号

密码输入值的比较主要有两部分,密码位数和内容,任何一个条件不满足,都不能打开锁。若锁内密码为“10010101” , K1 和K0 置低电平,分别表示输入“1” 和“0” 。输入密码前先进行复位操作,再按着从密码最低位到最高位的顺序依次正确输入 1 01 0 1 0 0 1。若采用共阴极L ED 接法,当输入第0位“1” 后,八个二极管中相对应的二极管点亮(此时二极管指示灯lamp =“10000000” ,输入密码信号shif t =“10000000” ) ,接着输入第 1 位“0”(此时lamp =“11000000” ,shif t =“01000000” ) …… 依照顺序,将8 位二进制密码全部正确输入完毕后(此时amp =“11111111” ,shif t =“10010101” ) ,经检验,输入的密码shif t 等于锁内预先设置的密码lock ,密码锁开启信号L T置高电平,锁开启。同时,密码修改控制信号LA 置高电平。若在输入密码的过程中,8 位二进制密码出现一位或多位输入错误,那么锁不能开启,同时Alm置高电平,指示灯L F 亮,发出报警信号,通知管理员。直到按下复位开关,报警才停止。此时,数字锁又自动进入等待下一次开锁的状态。

密码锁输入电路主要程序:

KEY_DECODER:BLOCK

SIGNALZ:STD_LOGIC_VECTOR(4 DOWNTO 0)--按键位置BEGIN

PROCESS(CLK)

BEGIN

Z<=C_KEYBOARD &C;

IF CLK'EVENT AND CLK='1'THEN

CASE Z IS

WHEN"11101"=>N<="0000";--0

WHEN"00011"=>N<="0001";--1

WHEN"00101"=>N<="0010";--2

WHEN"00110"=>N<="0011";--3

WHEN"01011"=>N<="0100";--4

WHEN"01101"=>N<="0101";--5

WHEN"01110"=>N<="0110";--6

WHEN"10011"=>N<="0111";--7

WHEN"10101"=>N<="1000";--8

WHEN"10110"=>N<="1001";--9

WHEN OTHERS=>N<="1111";

END CASE;

END IF;

IF CLK'EVENT AND CLK='1'THEN

CASE Z IS

WHEN"11011"=>F<="0100";--* _LOCK

WHEN"11110"=>F<="0001";--#_UNLOCK

WHEN OTHERS=>F<="1000";

END CASE;

END IF;

END PROCESS;

这段程序的作用是通过按键产生的信号进行译码,判断按键是数字还是上锁、解锁控制信号。

(2)修改密码

为防止非管理员任意进行密码修改,必须在正确输入密码后,才能重新设置密码。输入正确密码后,锁打开,同时,密码修改控制信号LA 置高电平,就可直接进行修改密码的操作。修改密码实质就是用输入的新密码去取代原来的旧密

码。存储新密码时,输入一位密码,密码位数加1。若采用共阴极L ED 接法,与输出引脚lamp 相接的发光二极管由亮变暗。当输入8 位密码后,8 只发光二极管全变暗。此时给CL K一个低电平,新密码产生。

3.4 密码锁显示模块

密码锁显示电路:

图3-4 密码锁显示电路原件例化

模块外部信号端口

DATA-BCD:密码信号输入端口

BLOCK:密码锁状态信号显示灯

4 系统仿真

当各个模块分别编译成功后,则创建一个个元件符号。再用图形编辑器将各元件模块组装起来,这就是本设计中最顶层的图形设计文件。顶层图形设计文件lock. gdf 如图4-1所示,这个结构框图说明了整个系统的外部输入和输出情况。为了获得与目标器件对应的、精确的时序仿真文件,在对文件编译前必须选定最后实现本设计项目的目标器件,在Max + plus Ⅱ环境中我们选Altera 公司的FPGA ,然后选择用于编程的目标芯片:选择菜单“Assign”→ “Device”,窗口中的Device Family 是器件序列栏, 先在此栏中选择ACEX1 K。为了选择EP1 K30 TC14423 器件,应将此栏下方标有“Showonly Fastest Speed Grades” 的勾消去,以便显示出所有速度级别的器件。完成器件选择后,按O K,就可以进行编译了。

图4-1 顶层图形设计文件

编译成功后进行仿真。首先建立波形文件。波形文件lock. scf 建好并存盘后,选择菜单“Max +plusⅡ”→ “simulator”,启动仿真操作,结束后观察仿真波形。本设计中,仿真波形如图4-2~图4-4所示。当给初始密码输入信号LC 一个低电平时,就将程序预先设定的密码( “10010101” )装入lock 中, lock的值变为95。按下CLR 后,系统复位,处于输入密码状态。输入的开锁密码串行顺序装入shif t 中,并用lamp 显示输入密码的位数。密码输入完毕后,比较输入的密码shif t 是否等于预先设定的密码lock ,若相等,锁开启。在图4-2中可以看到, shif t 等

VHDL密码锁设计

VHDL语言及应用课程设计论文 ----VHDL平台下 密码锁的设计 学院:电子信息学院 专业: 学号: 姓名: 指导老师: 团队成员: 完成日期: 目录

一. 引言-----------------------------------------------------------1 二. 实验目的-------------------------------------------------------1三. 实验任务与要求-------------------------------------------------1 四. 设计原理及工作流程---------------------------------------------2 密码锁设计原理--------------------------------------------------2 密码锁系统框图--------------------------------------------------2 密码锁设计提示--------------------------------------------------2 五. 密码锁的顶层设计源程序-----------------------------------------3 六. 密码锁各功能模块源程序及其仿真分析-----------------------------5 密码设定锁存器源程序及其仿真分析--------------------------------5 密码输入锁存器源程序及其仿真分析--------------------------------6 开锁控制系统源程序及其仿真分析----------------------------------8 比较器源程序及其仿真分析----------------------------------------9 LED显示源程序及其仿真分析--------------------------------------11 顶层源文件的仿真分析-------------------------------------------12 七. 密码锁设计源程序的下载调试------------------------------------13 电路结构-------------------------------------------------------13 管脚分配-------------------------------------------------------14 程序下载调试过程-----------------------------------------------14 八. 实验分析与总结------------------------------------------------15 九. 组内分工------------------------------------------------------16 十. 参考文献------------------------------------------------------16

单片机专业毕业设计论文_基于51单片机电子密码锁

单片机专业毕业设计 基于51单片机电子密码锁

目录 第1节引言 (1) 1.1 电子密码锁述 (1) 1.2 本设计主要任务 (1) 1.3 系统主要功能 (2) 第2节系统硬件设计 (3) 2.1 系统的硬件构成及功能 (3) 2.2 AT89C2051单片机及其引脚说明 (3) 第3节系统软件设计 (5) 3.1 系统主程序设计(流程图) (5) 3.2 软件设计思想 (5) 3.3 储单元的分配 (5) 3.4 系统源程序 (6) 3.5 系统应用说明 (9) 3.6 小结 (9) 结束语 (10) 参考文献 (11) 附录 (12)

电子密码锁 第1节引言 1.1 电子密码锁概述 随着社会物质财富的日益增长和人们生活水平的提高,安全成为现代居民最关心的问题之一。而锁自古以来就是把守门的铁将军,人们对它要求甚高,即要求可靠地防盗,又要使用方便,这也是制锁者长期以来研制的主题。传统的门锁既要备有大量的钥匙,又要担心钥匙丢失后的麻烦。另外,如:宾馆、办公大楼、仓库、保险柜等,由于装修施工等人住时也要把原有的锁胆更换,况且钥匙随身携带也诸多便。随着单片机的问世,出现了带微处理器的密码锁,它除具有电子密码锁的功能外,还引入了智能化、科技化等功能。从而使密码锁具有很高的安全性、可靠性。目前西方发达国家已经大量应用智能门禁系统,可以通过多种的更加安全更加方便可靠的方法来实现大门的管理。但电子密码锁在我国的应用还不广泛,成本还很高,希望通过不断地努力使电子密码锁能够在我国及居民日常生活中得到广泛应用,这也是一个国家生活水平的体现。 很多行业的许多地方都要用到密码锁,随着人们生活水平的提高,如何实现家庭或公司的防盗这一问题也变的尤其突出,传统的机械锁由于其构造简单,被撬的事件屡见不鲜,再者,普通密码锁的密码容易被多次试探而破译,所以,考虑到单片机的优越性,一种基于单片机的电子密码锁应运而生。电子密码锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲睐。 设计本课题时构思的方案:采用以AT89C2051为核心的单片机控制方案;能防止多次试探而不被破译,从而有效地克服了现实生活中存在的许多缺点。 1.2 本设计主要任务 (1)共8位密码,每位的取值范围为1~8。 (2)用户可以自行设定和修改密码。 (3)按每个密码键时都有声、光提示。 (4)若键入的8位开锁密码不完全正确,则报警5秒钟,以提醒他人注意。

基于指纹识别的电子密码锁设计

基于指纹识别的电子锁系统设计 作者姓名:XX 专业班级:测控技术和仪器2009060101 指导教师:XX 摘要 随着社会的发展和科技的进步,传统的安全防盗系统面临极大的挑战。生物识别技术的蓬勃发展,让人们对于安防系统的设计有了另一种灵感,指纹锁应运而生。可供二次开发的指纹模块已经解决了指纹图像的处理问题,如何实现这种技术的实际应用已经成为急需解决的问题,本设计利用单片机对指纹模块的控制实现了这种技术的应用。设计以指纹传感器对指纹图像的采集为基础,通过单片机控制指纹模块实现对指纹图像的组合处理,系统的各项具体功能皆建立在相应的指纹图像的组合处理基础之上,系统主要实现了指纹模板的录入以及指纹匹配功能。 关键词:指纹识别技术;指纹锁;系统设计

The Design of the system of Electronic lock based on Fingerprint Identification Abstract:With the development of the society and the progress of science and technology, The traditional security system faced with great challenges. With the vigorous development of Biometric Identification Technology, people have another kind of inspiration to design the lock,Fingerprint lock arises at the very historical moment. The fingerprint module for secondary development has solved the problem of image processing, The remaining problem is how to take advantage of the technology in practice. This design has realized the application, which mainly based on the control from MCU to the module of fingerprint. The foundation of this design is fingerprint collection, Through the control from MCU to the module can realize the combination of image processing, based on the combination of image processing can realize the various functions of the system . The mainly functions of the system include the landing and matching of fingerprint template . Keywords: Fingerprint identification technology;Fingerprint lock;System design

根据VHDL的电子密码锁的设计

《E D A仿真与实践实习》 学院:信息科学与工程学院 课题名称:硬件描述语言设计 ——基于VHDL的电子密码锁的设计班级: 学生: 学号: 指导教师:

1 引言 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。 基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FBDA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ5.1开发软件等为设计工具设计的电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此,能够满足社会对安全防盗的需求。 本设计的各个模块由相应的VHDL程序具体实现,并在QuartusⅡ5.1环境下进行了整体电路的模拟仿真,最终实现“密码锁控制器设计”的要求。 2 设计内容和要求 2.1 设计内容: 题目:电子密码锁 内容:设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。 要求: (1)通过查阅相关技术资料,详细描述电子密码锁的基本原理。 (2)编写电子密码锁的Verilog HDL或VHDL程序,并仿真编译下载验证。 (3)给出完整的系统顶层模块图与波形仿真图。

毕业设计电子密码锁(基于AT89C51)

摘要 本次设计使用AT89C51实现一基于单片机的电子密码锁。本系统由单片机系统、矩阵键盘、LED显示和报警系统组成。系统能完成开锁、超次锁定、修改用户密码基本的密码锁的功能。除上述基本的密码锁功能外,还具有温度显示、时间显示等功能,依据实际的情况还可以添加遥控功能。本系统成本低廉,功能实用。 关键词:单片机AT89C51 LED显示矩阵键盘自动报警

目录 1引言 (1) 2方案的比较与论证 (2) 3系统模块电路的设计 (4) 3.1系统总框图 (4) 3.2系统的模块电路设计 (4) 3.2..1单片机的最小系统模块 (4) 3.2..2波形产生模块 (5) 3.2..3键盘模块 (8) 3.2..4显示模块 (9) 3.2..5电源模块 (11) 3.2..6温度检测模块设计 (13) 3.2..7开锁电路和报警电路模块 (14) 4系统的软件设计 (15) 4.1软件设计思路 (15) 4.2系统主流程图 (15) 5总结 (16) 6致谢 (18) 7参考文献 (18) 8附录 (19)

1引言 目前,最常用的锁是20世纪50年代意大利人设计的机械锁,其机构简单、使用方便、价格便宜。但在使用中暴露了很多缺点:一是机械锁是靠金属制成的钥匙上的不同齿形与锁芯的配合来工作的。据统计,每4000把锁中就有两把锁的钥匙齿牙相同或类似,故安全性低。二是钥匙一旦丢失,无论谁捡到都可以将锁打开。三是机械锁的材料大多为黄铜,质地较软,容易损坏。四是机械锁钥匙易于复制,不适于诸如宾馆等公共场所使用。由于人们对锁的安全性,方便性等性能有更高的要求,许多智能锁也相继问世,但这类产品的特点是针对特定指纹或有效卡,但能适用于保密要求高且仅供个别人使用的箱、柜、房间,其成本一般较高,在一定程度上限制了这类产品的普及和推广。 随着人们生活水平的提高,电子密码防盗锁作为防盗卫士的作用日趋重要。电子密码防盗锁用密码代替钥匙,不但省去了佩戴钥匙的烦恼,也从根本上解决了普通门锁保密性差的缺点。随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,机械锁的这些弊端为一种新型的锁---电子密码锁,提供了发展的空间。 随着人们对安全的重视和科技的发展,许多电子智能锁已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的欢迎。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。

电子密码锁设计

《嵌入式系统》课程设计报告 题目 院系:机电学院 学生姓名:任 专业: 班级: 1 1 1 指导教师: 完成时间:2014-10-17

目录 1 系统总体方案设计 0 2 硬件电路设计 (2) 2.1 键盘电路设计 (2) 2.2 LCD1206显示电路 (3) 2.3 响应电路 (5) 3 软件设计 (6) 3.1软件设计思路 (5) 3.2 子程序 (6) 4 系统调试 (9) 5 心得体会 (10) 6 参考文献 (11) 附录 (12) (1)源程序 (12) (2)硬件原理图 (15)

摘要:随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。 关键词:4×4矩阵键盘;stm32;密码锁;LCD1602

1 系统总体方案设计 1.1采用数字电路控制 其原理方框图如图1-1所示。 图1.1 数字密码锁电路方案 密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、键盘输入次数锁定电路。 1.2 采用一种是用以stm32为核心的控制方案 利用其灵活的编程设计及其控制的准确性,不但能实现基本的密码锁功能,还能添加调电存储、声光提示甚至添加遥控控制功能。其原理如图1.2所示。 图1.2 stm32控制方案

基于FPGA的四位电子密码锁设计与实现 专业综合实训报告

基于FPGA的四位电子密码锁设计与实现 摘要: 针对于传统密码锁安全性能低及可靠性差等问题,立足于芯片中数据存储的保密性,运用EDA技术自顶向下的设计方法,提出了基于FPGA的四位电子密码锁的设计与实现方法,所设计的四位电子密码锁具有解锁、密码修改、报警提示及液晶显示功能。设计分析与仿真结果表明,基于FPGA所设计的四位电子密码锁保密性更高、灵活性更好,使得数据存储的整体可靠性增强,为提高数据的保密性提供了新的解决方案。 关键词:密码锁;FPGA;保密性;EDA技术; Design and Implementation of 4-Bit Electronic Cipher Lock Based on FPGA WANG Guo-qiang LI Shang-fu WANG Fei XIE Li-li WANG Qin SUN Bai School of Electronic Engineering,Heilongjiang University; Abstract: Traditional lock faces safety problem of low performance and poor reliability. Based on the data stored in the chip of confidentiality,and using EDA technology top-down design approach,we proposed the design and implementation of a 4-bit FPGA-based electronic lock,which provides unlock,passwordchange,alarm and LCD functions.Design analysis and simulation results show that the designed FPGA-based electronic lock provides better confidentiality,flexibility and reliability for data storage.This approach provides a novel solution for improving data confidentiality. Keyword: Coded lock;FPGA;Confidentiality;EDA technology; 0 引言 随着时代的发展,人们生活水平逐步提高,同时安全意识也日益增强,如何实现家庭防盗这一问题就尤其突出。传统的机械锁由于其构造简单,锁芯直接外露,导致被撬的事情比比皆是。因此,随着电子技术工业的发展,数字电子技术已经深入到人们的日常生活中,层出不穷的电子产品也向着高端方向发展,电子密码锁也就应运而生,在生活安全领域,具有防盗、报警功能的电子密码锁完全打破了机械密码锁的密码量少和安全性差的缺点[1]。 电子密码锁的复杂性,需要设计专门的电子电路和技术,许多相关研究机构和组织的科研人员进行了大量研究。目前该领域的研究主要集中在如何使电子密码锁体积缩小、可靠性

vhdl密码锁

Vhdl短学期实验——密码锁设计 小组成员: 04008230 李黎 04008228 陈宗渊 04008211 周炳宇

一、课题描述: 用于模仿密码锁的工作过程。完成密码锁的核心控制功能。 二、功能要求: 设计一个密码锁,平时处于等待状态。管理员可以设置或更该密码。如果不预置密码,密码缺省为“999999”。用户如果需要开锁,按相应的按键进入输入密码状态,输入6位密码,按下确定键后,若密码正确,锁打开,若密码错误,将提示密码错误,要求重新输入,三次输入都错误,将发出报警信号。报警后,只有管理员作相应的处理才能停止报警。用户输入密码时,若输入错误,在按下确定键之前,可以通过按取消键重新输入。正确开锁后,用户处理完毕后,按下确定键,系统回到等待状态。系统操作过程中,只要密码锁没有打开,如果60秒没有对系统操作,系统回到等待状态。注意:输入按键信号时必须一个按键一个按键输入,不得6个按键一起输入。 三、设计流程: 1.结构框图:

①按键输入; ②复位(设置缺省密码); ③等待状态 ④工作状态 ⑤修改密码 ⑥提醒错误及报警 ⑦开锁 ⑧恢复等待

2.模块设计: ★控制模块:实现输入输出,实现等待工作的转换,实现开锁及报警; ★比较模块:比较输入密码与正确密码 ★寄存模块:存放密码 ★计数及使能模块:(1)输入个数为6,多于无效自动忽略; (2)60s的空闲时间,无操作返回等待; (3)错误次数为3 (4)进入工作状态,是能段即打开,直到进入等待。 四、具体实现: ★控制模块: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ctrl is port ( change,vers,keysign : in std_logic; ok,cancel : in std_logic; clk : in std_logic; result : in std_logic; wt : in std_logic; enable : out std_logic ); end ctrl; signal sec : integer range 0 to 60; begin process(clk) begin if (clk'event and clk='1') then if (vers='1') then enable<='1'; end if; if (wt='1' and result='1') then

电子密码锁毕业设计论文(分享版)

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期: 摘要 近年来,随着改革开放的深入发展,电子电器的飞速发展.人民的生活水平有了很大提高。各种高档家电产品和贵重物品为许多家庭所拥有。然而一些不法分子也是越来越多。这点就是看到了大部分人防盗意识还不够强。造成偷盗现象屡见不鲜。因此,越来越多的居民家庭对财产安全问题十分担忧。 有报警功能的密码锁这时正为人们解决了不少问题.但是市场上的密码锁大部分都是用于一些大公司财政机构。价格高昂,一般人们难以接受。如果再设计和生产一种价格低廉、性能灵敏可靠的密码锁,必将在防盗和保证财产安全方面发挥更加有效的作用。 由于电子密码锁是一种通过密码输入来控制电路或是芯片工作的,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。现在应用较广的电子密码锁是以芯片为核心。 关键词密码;开锁;报警

基于51单片机电子密码锁设计

一、设计目的 1.1课题简介 如何实现防盗是很多人关心的问题,传统的机械锁由于其构造简单,被撬的事件屡见不鲜,使人们的人身及财产安全受到很大威胁。电子密码锁是一种依靠电子电路来控制电磁锁的开和闭的装置,开锁需要输入正确密码,若密码泄露,用户可以随时更改密码。因此其保密性高,使用灵活性好,安全系数高,可以满足广大用户的需要,现在广泛使用的有红外遥控电子密码锁,声控密码锁,按键密码锁等。 1.2课题研究目的 本设计是一种基于单片机的密码锁方案,根据基本要求规划单片机密码锁的硬件电路和软件程序,同时对单片机的型号选择、硬件设计、软件流程图、单片机存储单元的分配等都有注释。现在很多地方都需要密码锁,电子密码锁的性能和安全性大大超过了机械锁,为了提高密码的保密性,必须可以经常更改密码,以便密码被盗时可以修改密码。 本次设计的密码锁具备的功能:LED数码管显示初始状态“——————”,用户通过键盘输入密码,每输入一位密码,LED数码管相应有一位变为“P”,若想重新输入密码,只需按下“CLR”键。密码输入完毕后按确认键“#”,密码锁控制芯片将输入的密码和密码锁控制芯片中存储的密码相比,若密码错误,则不开锁,会有红灯亮提示,同时显示“Error”。若正确,则开锁,会有绿灯亮提示,同时显示“PASS”。用户可以根据实际情况随意改变密码值或密码长度,密码输入正确后可以按下“CHG”修改密码,输入新密码时每输入一位新密码相应有一位变为“H”,以便提示用户此时输入的是新密码,修改新密码时若想重新输入新密码只需按下“CLR”键即可。输入新密码后按确认键即修改成功,新密码写入单片机内部RAM中,以便以后用来确认密码的正确性。按下复位键,系统恢复初始状态,密码也恢复初始密码,本设计中初始密码是“096168”。 本次设计中硬件主要由我完成,软件主要由张振完成。 二、硬件设计 2.1概述 本系统主要由单片机最小系统、电源电路、输入键盘电路、输出显示电路、开锁电路等组成,系统框图如图1所示:

毕业设计论文_基于FPGA的电子密码锁设计

摘要 随着电子技术的发展,具有防盗报警等功能的电子密码锁代替密码量少、安全性差的机械式密码锁已是必然趋势。电子密码锁与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。在实际应用中,由于程序容易跑飞,系统的可靠性能较差。 本文主要阐述了一种基于现场可编程门阵列FPGA器件的电子密码锁的设计方法。用FPGA器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高。由于FPGA具有现场可编程功能,当设计需要更改时,只需更改FPGA 中的控制和接口电路,利用EDA工具将更新后的设计下载到FPGA中即可,无需更改外部电路的设计,大大提高了设计的效率。因此,采用FPGA开发的数字系统,不仅具有很高的工作可靠性,而且升级也极其方便。 本文采用EDA技术,利用Quartus II工作平台和硬件描述语言,设计了一种电子密码锁,并通过一片FPGA芯片实现。 关键词:电子密码锁;FPGA;硬件描述语言;EDA

Abstract With the development of electronic technology, electronic password lock with burglar alarm and other functions replacing less password and poor security mechanical code lock is an inevitable trend. compared electronic password lock with ordinary mechanical locks, it has many unique advantages :confidentiality, and security in nature, do not use the key, remember password can unlock it etc .Most electronic password locks we used now is based upon SCM technology ,SCM is its mainly device ,and the creating of encoding and decoding devices is the fashion of Software mode. In practical application, the reliability of the system may be worse because of easy running fly of the programme. This paper mainly expatiates a design method of electronic password lock based upon Field Programmable Gate Array device. We use FPGA devices to construct system , all of the algorithm entirely achieved by the hardware circuit , because of FPGA has the function of ISP , when the design needs to be changed We only need to change the control and interface circuit of FPGA,EDA tools are used to download the updated design to FPGA without changing the design of the external circuit , this greatly enhance the efficiency of the design .Therefore , we use FPGA to empolder the digital system has not only high reliability but also extremely convenient of upgrading and improvement .In this paper ,we use EDA technology , Quartus II platform and hardware description language designing an electronic password lock ,and it achieved through an FPGA chip. Key words:electronic password lock;FPGA;hardware description language;EDA

电子密码锁的设计与制作

天津大学网络教育学院 专科毕业论文 题目:电子密码锁的设计与制作 完成期限:2016年1月8日至 2016年4月20日 学习中心:嘉兴 专业名称:电气自动化技术 学生姓名:张伟强 学生学号:132092433077 指导教师:刘斌

电子密码锁的设计与制作 第1章方案选择和总体设计 1.1 国内外现状 目前,最常用的锁是20世纪50年代意大利人设计的机械锁,其机构简单、使用方便、价格便宜。但在使用中暴露了很多缺点:一是机械锁是靠金属制成的钥匙上的不同齿形与锁芯的配合来工作的。据统计,每4000把锁中就有两把锁的钥匙齿牙相同或类似,故安全性低。二是钥匙一旦丢失,无论谁捡到都可以将锁打开。三是机械锁的材料大多为黄铜,质地较软,容易损坏。四是机械锁钥匙易于复制,不适于诸如宾馆等公共场所使用。由于人们对锁的安全性,方便性等性能有更高的要求,许多智能锁(如指纹辨别、IC卡识别)也相继问世,但这类产品的特点是针对特定指纹或有效卡,但能适用于保密要求高且仅供个别人使用的箱、柜、房间,其成本一般较高,在一定程度上限制了这类产品的普及和推广。 随着人们生活水平的提高,电子密码防盗锁作为防盗卫士的作用日趋重要。电子密码防盗锁用密码代替钥匙,不但省去了佩戴钥匙的烦恼,也从根本上解决了普通门锁保密性差的缺点。根据国外的统计资料显示,装有电子防盗装置的商业区或居民区盗窃犯罪率平均下降30%左右。目前西方发达国家已经大量地应用这种智能门禁系统,但在我国的应用还不广泛,成本还很高。 1.2设计目标 利用51系列单片机为核心,采用矩阵键盘作为数字输入;6位数字密码显示;可重新设置新密码,EPROM存储密码,掉电不丢失;当输入密码与存储密码一致时,开锁,并响音乐,若连续三次输入错误,则报警灯亮并且蜂鸣器响。

电子密码锁设计毕业设计论文

*****大学******学院 毕业设计(论文) 设计说明书 设计(论文)题目: 电子密码锁设计 学生:****** 专业:电子信息工程 班级:电信**-* 指导教师:****** 设计日期:2015年6月10日

******大学******学院 毕业设计(论文)任务书 毕业设计(论文)题目: 电子密码锁设计 原始资料: 20世纪80年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。 目前,在西方发达国家,电子密码锁技术相对先进,种类齐全,电子密码锁已被广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。在我国电子锁整体水平尚处于国际上70年代左右,电子密码锁的成本还很高,市场上仍以按键电子锁为主,按键式和卡片钥匙式电子锁已引进国际先进水平,现国内有几个厂生产供应市场。但国内自行研制开发的电子锁,其市场结构尚未形成,应用还不广泛。国内的不少企业也引进了世界上先进的技术,发展前景非常可观。希望通过不断的努力,使电子密码锁在我国也能得到广泛的应用。 电子防盗锁广泛应用于金融业,其根本的作用是“授权”,即被“授权”的人才可以存取钱、物。广义上讲,金融业的“授权”主要包括以下三种层次的内容: 1、授予保管权,如使用保管箱、保险箱和保险柜; 2、授予出入权,如出入金库、运钞车和保管室; 3、授予流通权,如自动存取款。 毕业设计(论文)主要内容: 拟在此电子密码锁的系统中设计主要由三部分组成:4×4矩阵键盘接口电路、密码锁的控制电路、输出八段显示电路。另外系统还有LED提示灯,报警蜂鸣器等。系统能完成本机超时报警、超次锁定、修改用户密码基本的密码锁的功能。 本设计的任务拟采用单片机(STC89C52)作为单片机的核心单元,利用单片机串行发射、接收等功能而设计的一款具有本机开锁和报警功能的电子密码锁。本系统成本低廉,功能实用。 本系统根据设定好的密码,采用4×4键盘实现密码的输入功能,当密码输入正确之后,锁就打开,如果输入的密码不正确,就锁定按键3秒钟,同时发出报警声。 (1)单片机型号可选STC89C52。 (2)6位密码的设定在程序中完成。 (3)密码的输入采用4×4键盘实现,输入6位数字后,按回车键结束。 (4)若输入的密码正确,锁打开,同时用发光二极管亮1秒作为提示。 (5)报警可采用蜂鸣器或扬声器。

基于单片机的电子密码锁设计与实现

摘要 近年来,随着改革开放的深入发展,电子电器的飞速发展。人民的生活水平有了很大提高。各种高档家电产品和贵重物品为许多家庭所拥有。然而不法分子也是越来越多,原因在于大部分人防盗意识还不够强,造成偷盗现象屡见不鲜。越来越多的居民家庭对财产安全问题十分担忧。因此,出于安全方便等方面的需求,电子密码锁相继问世。 本设计是以单片机AT89S51为主控芯片,并结合外围液晶显示LCD1602、存储芯片AT24C02、红外遥控HS0038,以及键盘输入、复位、电源等电路组合而成。系统能够完成开锁、报警、修改密码等基本功能,还能够通过红外来控制单片机的开锁,以及掉电储存密码的功能。整个设计在Keil开发环境下,用C 语言编写主控芯片的控制程序来实现具有多功能的电子密码锁。 关键词:密码锁AT89S51 储存显示红外

Abstract In recent years, with the deepening of reform and opening-up, with the rapid development of electronic appliances.The people's living standard has been greatly improved.A variety of high-grade household electrical appliances and the valuables have for many families.However criminals are also more and more, because most people security awareness is not strong enough, causing steal phenomenon it is often seen.More and more households in property safety is concerned about.Therefore, for the safe and convenient and other aspects of the demand, electronic password lock in succession. The design is based on SCM AT89S51 as main control chip, and the combination of peripheral LCD1602 liquid crystal display, memory chip AT24C02, infrared remote control HS0038, and keyboard input, reset, power circuit assembly.The system can complete the lock, alarm, modify passwords and other functions, can also through infrared to control chip lock, and power-down save password function.The whole design in the KEIL development environment, using C language master control chip control procedures to achieve multifunctional electronic cipher lock. Key Words:Password lock AT89S51 Storage Display Infrared

FPGA根据verilogHDL的密码锁

EDA课程设计 课设名称:密码锁 课设日期: 2014.6.23——7.5 姓名:陈飞 学号:110250101 哈尔滨工业大学(威海) 信电学院电子信息工程 2014.6

一. 所用软件与硬件介绍 1.1所用软件介绍 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 QuartusII可以在XP、Linux以及Unix上使用,除了可以使用TCL脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。 此外,QuartusII 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。 Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对MaxplusII 的更新支持,QuartusII 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在QuartusII 中包含了许多诸如SignalTapII、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII 友好的图形界面及简便的使用方法。 1.2所用硬件介绍 硬件的总体设计: 故由上图,将整个硬件电路部分主要分成五个部分,即:FPGA电路设计,数码管显示驱动电路设计,温度传感器电路设计,报警电路设计,键盘矩阵

电子信息专业毕业设计(论文)_数字密码锁设计

电子密码锁 摘要本文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安 工作,有极高的安全系数。 关键词电子密码锁电压比较器555单稳态电路计数器JK触发器UPS电源。 1 引言 随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。 设计本课题时构思了两种方案:一种是用以AT89C2051为核心的单片机控制方案;另一种是用以74LS112双JK触发器构成的数字逻辑电路控制方案。考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。 2 总体方案设计 2.1设计思路 共设了9个用户输入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入密码的时间超过40秒(一般情况下,用户不会超过40秒,若用户觉得不便,还可以修改)电路将报警80秒,若电路连续报警三次,电路将锁定键盘5分钟,防止他人的非法操作。 2.2总体方框图

3 设计原理分析 电路由两大部分组成:密码锁电路和备用电源(UPS),其中设置UPS电源是为了防止因为停电 造成的密码锁电路失效,使用户免遭麻烦。 密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、报警电路、键盘输入次数锁定电路。 3.1 键盘输入、密码修改、密码检测、开锁及执行电路. 其电路如下图1所示:

. . . . 图1 键盘输入、密码修改、密码检测、开锁、执行电路 开关K1~K9是用户的输入密码的键盘,用户可以通过开关输入密码,开关两端的电容是为了提高开关速度,电路先自动将IC1~IC4清零,由报警电路送来的清零信号经 C25送到T11基极,使T11导通,其集电极输出低电平,送往IC1~IC4,实现清零。 密码修改电路由双刀双掷开关S1~S4组成(如图2所示), 它是利用开关切换的原理实现密码的修改。例如要设定密码为1458,可以拨动开关S1向左,S2向右,S3向左,S4向右,即可实现密码的修改,由于输入的密码要经过S1~S4的选择,也就实现了密码的校验。本电路有16组的密码可供修改。 图2 密码修改电路 由两块74LS112(双JK 触发器,包含IC1~IC4)组成密码检测电路。由于IC1处于计数状态,当用户按下第一个正确的密码后,CLK 端出现了一个负的下降沿,IC1计数,Q 端输出为高电平,用户依次按下有效的密码,IC2~IC3也依次输出高电平,送入与门IC5,使其输出开锁的高电平信号送往IC13的2脚,执行电路动作,实现开锁。

相关主题
文本预览
相关文档 最新文档