当前位置:文档之家› Cool Edit Pro 2.0 下载地址及简明教程

Cool Edit Pro 2.0 下载地址及简明教程

Cool Edit Pro 2.0 下载地址及简明教程
Cool Edit Pro 2.0 下载地址及简明教程

Cool Edit Pro 2.0 翻唱歌曲录音图解教程

Cool Edit Pro 2.0 录音图解教程

首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的mic调试好。然后下载我们要用到的工具COOL EDIT PRO 2.0及其插件。

1、安装好cooledit pro 2.0后,再把插件安装到安装目录下的子目录中,新建一个名曰“DX”的文件夹,

把所有的插件都安装释放到这里。

2、打开cooledit pro 2.0后,会自动建立一个新的工程。界面如下:

大家看到,我标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时

要点

亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开

始录下你的声音了。

在录音之前还需调整你的“声音与音频属性”,双击任务栏小喇叭——选项——属性,如图:

需要把录音项打勾,在MIC一栏中选中,其它的不要选择,因为我们要录的只是自己要歌

唱的声音。

3、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很

长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关

闭”。回到多轨模式下删除此轨。

4、右键单击第一轨,插入一个音频文件,注意,这个音频文件就是你的音乐伴奏文件,可

以是mp3,也可以是wav等其它音乐文件的格式。

5、在第二轨处,把R点亮,点击下面的红色录音键就可以开始跟唱了。不要忘了准备歌词

和熟悉歌曲的旋律,要不然录出来的东西可是……嘿嘿

6、录制完成之后,你可以听听你录下的声音是不是干巴巴的?很难听吧?呵呵,都这样,

没有加任何效果嘛。好,现在我们来给你的声音进行一下润色吧。右键点击你录制声音所

在的轨道,点击波形编辑进入单轨模式。如图

7、我们录制的声音首先要进行降噪,虽然录制环境要保持绝对的安静,但还是会有很多杂

音的。点击效果中的降噪器,我们在上面已经进行过了环境的噪音采样,此时只需点击“确

定”,降噪器就会自动消除你录制声音中的环境噪音,你也可以打开“预览”自己拖动直线来

进行调整直到满意为止。加一句,过多的降噪会对声音有一定的损失

8、现在,我们来给你的干巴声音加效果了,刷新一下你的效果列表,你会发现在DirectX 下多了很多的选项,这就是我们刚才安装的插件。首先进行高音激励,然后再做压限,压

限就是把你的声音通过处理后变的更加均衡,来保持一致连贯,不会声音忽大忽小。做压

限的话就用waveC4好了。用Utlrafunkfx中的compressor插件也可以起到压限的作用

先点击BBESonicMaxizer,点击预览,在预置中已经有很多种选项可供你选择,也可以

自己调,点击确定完成这一步。然后再打开waveC4,重复以上步骤。

9、加混响。我们现在录制的声音还是很干巴的,没有一点混响,现在我们要通过效果-

DirectX-Utlrafunkfx中的ReverbR3来给你的声音加上混响。如图:

也是在预置当中的选项中找一种合适的供你使用,别忘了先把"预览"打开哦~~调整好预加

的效果就点确定吧。个人认为,如果混响加的太多的话会使人声显得模糊过于假,加的少

又会使声音显得很干很涩,大家慢慢摸索,多录几次后就有经验了。

完毕,点击轨道切换按钮返回到多轨模式下,试听。

10、怎么样?听到了吗?满意吗?还可以是吗?如果觉得你录制的声音音量偏小,那么就

右键点击“调整音频块音量”,直到两轨的声音达到你满意的程度为止。下面就把这两个音

轨混缩成一个音轨吧。在第三轨上右键单击,弹出菜单中选择“混缩所有波形文件”。

11、ok,现在两轨的声音已经合并了,再双击第三轨,进入单轨模式下,选择菜单中的

“文件”-“另存为”,找到.mp3或者是.wma了吗?给你的文件起个名吧,比如:天黑黑.mp3,指定好一个存放mp3的路径,点击确定。

打开winamp听听你的声音能不能和歌星比,^_*什么?不能?嘿嘿,那是你的嗓子太差了。^_*

其实,COOLEDIT PRO 2.0还有很多细节及功能在我这个帖子中没有提到,一个是本人的水平有限,一个是简单录制自己的歌曲文件用不上那么许多,这些已经足够了,还是大家来慢慢在使用中体会吧.

二、效果参数推荐:

效果处理中设置的推荐参数

quartusII图形设计过程教程

Quartus II 的使用 (2) 1 工程建立 (2) 2 原理图的输入 (5) 3 文本编辑(verilog) (15) 4 波形仿真 (16)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击 ok按钮。此时可看到光标上粘着被选的符号,将其移到合 适的位置(参考图 1.11)单击鼠标左键,使其固定; (4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧 单击鼠标左键,即可看到在input和D触发器之间有一条 线生成;

Cool Edit Pro一些使用技巧

Cool Edit Pro一些使用技巧 Cool Edit Pro一些使用技巧 出处:多特软件站时间:2008-09-03 人气:62290我要提问我来说两句 核心提示:如果你的Windows 计算机有一块声卡或健全的模块( 作为几乎所有做) ,Cool Edit 能把它变成一记录有特征的所有的工作室由职业的声音工程师使用了。 如果你的Windows 计算机有一块声卡或健全的模块( 作为几乎所有做) ,Cool Edit 能把它变成一记录有特征的所有的工作室由职业的声音工程师使用了。你能使用它记录你的自己的音乐,声音或另外的声音, 编辑它, 与另外的声音或音乐的部分混合它, 象Reverb ,合唱团,并且回响一样增加效果到它,equalize 它,并且主人它以便你能烧它到CD ,在万维网上邮寄它,或发电子邮件给它。一旦你开始,你将在你能完成的被惊奇! 下面,我们以一段吉他音频的编辑为例,介绍Cool Edit Pro的编辑功能.至于其他的贝斯,人声等,编辑方法基本一样. 如图,是一段没有经过编辑的木吉他节奏波形.红线所指,是开

始弹奏前预留的一段空白噪音.这段噪音的预留,是为了现在进行采样降噪做准备的. 首先谈谈降噪的过程: 我们录进电脑里的波形,一定会存在有噪音。对各种噪音,有各种解决办法. DC Offset(直流偏移)是由一些麦克风或者声卡造成的,表现现象就是波形没有处于水平线正中部位,在纵向有一定偏移. 它的处理方式最简单,一般的音频软件都可以很容易去除.如Sound Forge里,只要在"process"菜单里选择"Dc Offset"就可以了. 而在Cool Edit Pro中,则在"转换"菜单里选择"Amplify",如下图所示: 点红线所指之处,会出现下面的对话框 选中"Enable DC Bias Adjust"前的勾,然后在红线指处填进去"0",然后选择"OK"就可以了.而背景噪音是一般个人电脑录音中最大的问题,因为房间隔音能力差,环境不安静造成各种各样的背景噪音.如声卡的杂音,音箱的噪音,家里电器的声音,电脑的风扇,硬盘..., 采样降噪是目前比较科学的一种消除噪音的方式,它首先获

Quartus_II使用教程-完整实例

Quartus Ⅱ入门教程 (一个Verilog 程序得编译与功能仿真) Quartus Ⅱ 就是Altera 公司推出得专业EDA 工具,支持原理图输入、硬件描述语言得输入等多种输入方式。硬件描述语言得输入方式就是利用类似高级程序得设计方法来设计出数字系统。接下来我们对这种智能得EDA 工具进行初步得学习。使大家以后得数字系统设计更加容易上手。 第一步:打开软件 快捷工具栏:提供设置(setting),编译(pile)等快捷方式,方便用户使用,用户也可以在菜单栏得下拉菜单找到相应得选项。● 菜单栏:软件所有功能得控制选项都可以在其下拉菜单中找到。 ● 信息栏:编译或者综合整个过程得详细信息显示窗口,包括编译通过信息与报错信息。 第二步:新建工程( Project Wizard ) 1 工程名称: 快捷工具栏 菜单栏 所建工程得保存路径 工作区 资源管理窗口 任务管理窗口

dqgxo 。 2添加已有文件(没有已有文件得直接跳过next ) 3 选择芯片型号(我们选择MAX3000A 系列下得EPM3256AQC208-10芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置) 工程名称 顶层模块名(芯片级设计为实体名),要求与工程名称相同 如果有已经存在得文 件就在该过程中添加, 软件将直接将用户所添加得文件添加到工程中。

4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None,然后next) 5 工程建立完成(点finish ) 所选得芯片得系列型号 快速搜索所需得芯片 选择芯片 选择第三方综合工具,如果使用Quartus 内部综合工具则选择none 选择第三方仿真工具,如果使用Quartus 内部仿真工具则选择none 选择时序分析仪

CoolEditPro20详细指导[1-4](图文)

Cool Edit Pro 2.0详细教程[1-4](图文) 系统介绍一下用Cooledit pro 2.0录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3伴奏文件,wav也可(图1)。 (图1) 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

(图3) 4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要 重新录制(图4)

(图4) 5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro格式(图6 图7),以前的介绍中是让大家存为wav格式,其实mp3 也是绝对可以的,并且可以节省大量空间。

(图5)

(图6) (图7) (注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪处理 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

QuartusII教程(完整版)

Quartus II 的使用 (1) 1 工程建立 (1) 2 原理图的输入 (4) 3 文本编辑(verilog) (14) 4 波形仿真 (17)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图 1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

音乐编辑Cool Edit Pro简单教程

Cool Edit Pro 用Cool Edit Pr o消除原唱,方法实际上可以说很简单,然而要想把它做的很完美还是得下点功夫。 我这里说的“消原唱”只是Cool Edit Pro菜单中的一个独立功能,选择并使用就立即出结果。但是要想得到最好的效果,仅仅使用Cool Edit Pr o的“消原唱”菜单功能还是不够滴!!你还得进行跟多细致的音频处理和设置。 无论如何,还是先让我们尝试下最简单的“消除原唱”的方法。 首先进入“单轨编辑模式”界面。用“File”→“Open”调入一个音频文件。我已庾澄庆的《命中注定》为例,文件名为“命中注定.MP3”。调入后选择“Effects”→“Amplitude” →“Channel Mixer…..”(中文版的为“效果”→“波形振幅”→“声道重混缩”),在“预置”中选择“Vocal Cut”见(图1),保持对话框上的默认设置,点“OK”按钮。经过处理后,就得到了《命中注定》这首歌的伴奏音乐。 “Vocal Cut”功能的原理是:消除声像位置在声场中央的所有声音(包括人声和部分伴奏)。所以用此功能主要的还是要看伴奏的来源,混音前是否有乐器和人声放在声场的中央,如果有的话用此功能都会把它给消除掉,造成了音质的衰减。比如说一般声场放在中央的有“主人声”、BASS……等等。如果大家需要消音音频来源是我说的这些原理的来源的话,我还是建议不要使用此功能,这样人声没消掉到把伴奏音乐全给消除了,真让人郁闷呵呵 Adobe Audition 导语:现在很多影碟机和软件都能将有歌声的双声道歌曲消除原唱,但是您会发现消除后伴奏就变成单声道了,并且只针对回声很小的歌曲有效果。而最新版本的Adobe Audition就解决了这个难题,消除了原唱不仅能保持双声道,而且回声较大的歌曲也能将歌声减去很多,且效果较好,甚至还可以将左声道是伴奏右声道是原唱的VCD音频转换成双声道伴奏! 网友们,在充满着音乐的美好的时光里,确实给我们带来了很多的欢乐,动听的歌声打动着我们每个人的心灵,优美的旋律让我们放开心怀,丰富了我们的业余生活。对!我们听着的音乐都是歌星们演唱的,但是,如果有自己心爱的歌曲想自己唱,那就需要原唱歌曲的伴奏了。其实您需要伴奏也可以去音像店里购买歌星的MTV VCD/DVD或者KALAOK VCD/DVD,也可以去网上寻找MP3、MIDI伴奏,除少部分歌曲在音像店或者网上能够找到双声道伴奏外,有一部分歌曲是单声道伴奏,但有一部分歌曲根本就没有伴奏,哎呀,如果我心爱的歌曲我买不到也找不到伴奏那怎么办呢?没关系,这篇文章可以为您解难!另外,有的已做好了的伴奏有导唱旋律声,有的朋友唱歌水平很高,不需要导唱旋律声,如果找不到无导唱旋律声的双声道伴奏的情况下,也可以在下面的介绍中利用原唱歌曲消除原唱保持双声道伴奏,当然,不同的伴奏制作方法有不同的效果,应该根据个人情况决定。这篇文章我们会介绍将已有的单声道伴奏制作成双声道伴奏、将有歌声的歌曲消除原唱制作成双声道伴奏、将伴奏制作成个性化伴奏。

cooleditpro音频基本编辑方法

3、单轨音频基本编辑方法 1. 录音 Cool Edit Pro可以录入多种音源,如:话筒、录音机、CD播放机等,将这些设备与声卡连接好,就可以准备录音了。录音的步骤如下: (1)将话筒插入电脑声卡的麦克风插孔,开启话筒电源。 (2)启动Cool Edit Pro后,切换到波形单轨编辑窗口。 (3)选择菜单[文件][新建],出现“新建波形”对话框,选择适当的采样率,声道数,采样精度,如图4-9所示。 (4)按下操作区的“”按钮,开始录音。如图4-10所示。 图4-9 新建波形图4-10 录音按钮 (5)单击操作区左上角的“”按钮就可以停止录音。 (6)通过单击“”按钮进行试听。 (7)通过菜单[文件][另存为]进行保存,保存时可以选择不同的文件类型,如图4-11所示。

图4-11 录音文件保存 2. 摘录 Cool Edit Pro可以从CD或VCD中摘录声音,现以CD为例,步骤如下:(1)将CD放入光驱中,选择菜单[文件]|[从CD中提取音频]。 (2)在[音轨]下拉文本框中选择要提取的音轨,单击[确定],如图4-12所示。 图4-12 摘录 (3)通过菜单[文件]|[另存为]选择需要的类型进行保存。 3. 其他操作

其他的操作如剪切、复制、粘贴等功能和一般的应用软件很相似。在波形窗口左右声道的交界处拖动鼠标,选中要处理的波形区域,单击右键弹出快捷菜单就可以选择剪切或复制命令,在需要插入波形的地方同样单击右键弹出快捷菜单就可以选择粘贴命令。如果要选中右声道中的波形,则可以将光标移到波形窗口下方边界时,光标显示“R”的时候拖动鼠标,如图4-13所示。同样的,如果要选中左声道中的波形,则可以将光标移到波形窗口上 图4-13 选择右声道中的波形 方边界时,光标显示“L”的时候拖动鼠标。 4、单轨音频效果处理 1. 音量调整 Cool Edit Pro可以在保证不出现声音失真的前提下,对声音进行调整。 操作步骤如下: (1)打开要处理的音频文件。 (2)选择菜单[效果]|[波形振幅]|[渐变]。

QuartusII入门详细教程实例讲解

Quartus II入门详细教程实例讲解 写在前面: 1.本教程适合以前没有接触过QuartusII开发软件的新手,本教程是基础的入门,后续的学习还得大家自己努力。 2.本教程非常详细手把手带大家入门,网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做。 3.本教程首先通过简单的仿真实验带大家入门。VHDL源代码会附在文档最后。 4.本教程使用Quartus II 9.1版本进行演示,其他版本的操作差别不是太大,也可以进行学习。 目录 一、Quartus II开发软件基本介绍 1.1 Quartus Ⅱ简介 Quartus Ⅱ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。 1.2 Quartus Ⅱ开发流程 使用Quartus II 软件进行开发的流程如图1.2.1所示。需注意的是,Quartus II还可以使用命令行模式的TCL批处理脚本进行自动流程控制。

图1.2.1 Quartus Ⅱ开发流程 二、用3-8译码器的设计介绍QuartusⅡ的基本使用方法(VHDL仿真) 1.1打开软件 双击桌面安装好的QuartusⅡ 9.1图标,打开软件,主页面如图1所示。 图1 在图1中,1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。2区为快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。3区为资源管理窗口。4区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当显示100%是表示编译或者综合通过。5区为工作区。6区为信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

Cool Edit Pro中文说明书

Cool Edit Pro详细教程 录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3伴奏文件,wav 也可(图1)。 (图1) 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

(图3) 4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要重新录制(图 4)

(图4) 5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro 格式(图6图7),以前的介绍中是让大家存为wav格式,其实mp3也是绝对可以的,并 且可以节省大量空间。

(图5)

(图6) (图7) (注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪处理 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

Quartus_II简明教程

Quartus II简明教程 Altera公司的Quartus II设计软件是用来进行SOPC(System-on-a-programmable-chip)设计的综合的设计环境。本教程适用于Quartus II软件的新用户,介绍使用Quartus II软件的进行FPGA设计的基本方法。需要注意,本教程并不是Quartus II软件的详尽的参考手册。 本教程包含的主要内容: 1、典型的FPGA设计流程; 2、开始 3、新建project 4、设计输入 5、编译 6、引脚分配 7、仿真 8、编程、配置FPGA器件 9、板级调试

1、 典型的FPGA 设计流程 计算机辅助设计(Computer Aided Design ,CAD )软件的使用使得使用可编程逻辑器件(Programmable Logic Device ,PLD)器件(比如Field Programmable Gate Array ,FPGA)进行数字逻辑电路设计变得非常容易。使用CAD 软件进行FPGA 设计的典型流程如图1所示。 图1 FPGA 设计的典型设计流程 Quartus II 软件支持以上设计流程的所有阶段。本教程介绍Quartus II 软件的基本特征。 2、 开始 在Quartus II 软件中设计的每个电路或者子电路都叫做项目(Project )。Quartus II 软件每次只能打开一个Project ,并且一个Project 的所有信息都必须保存在同一个文件夹。为了开始一个新逻辑电路的设计,首先第一步就是新建一个文件夹来保存此Project 的文件。为了保存本教程的设计项目Project ,新建文件夹D:\introtutorial 。本教程运行的例子是一个简单两路开关控制电路。 启动Quartus II 软件,会打开如图2所示启动画面。启动画面中包含了使用Quartus II 软件所需要的

【教程】Cooledit pro 2.1录歌步骤及后期处理

Cooledit pro 2.1录歌步骤及后期处理 介绍 系统介绍一下用Cooledit pro 2.1录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 Cooledit pro 2.1软件下载(右键另存) 第一步:降噪音 1 打开ce,先点亮第3轨的R,然后在安静的环境下,不要出声音,先录一小段,时间不用很长,几秒就可以了。

2 然后右键单击第3轨,选择编辑波形,进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样.采样结束 后点关闭,再回到单轨模式下,把第3轨删除.

第二步:录歌 在第1轨插入伴奏,点亮第2轨的R ,开始录歌了哦...录歌结束后,右键单击第2轨,(我个人建议先把原唱保存一下,以便做处理的时候随时调出来用).选择编辑波形,选择效果-噪音消除-降噪器,因为刚才已经对噪音 进行了采样,现在只需点确定就OK了. 第三步:后期处理 在单轨模式下 1:选择效果里的Driectx 里的BBESonicMaximier 会出现3个圆钮,第一个是使声音浑厚的效果,第2

个是清亮的效果,第三个是音量。。。看自己唱的感觉调节。我基本都是默认,直接点确定。。也可以视 听来调节感觉。 2:选择效果里的Driectx 里的Wavesc4 这个是压限,就是使声音听起来平稳,不会忽大忽小。参数如图:

3:选择效果里的Driectx 里的UItrafunkfx 这个插件里的Compressor 参数如图:

4:选择效果里的Driectx 里的UItrafunkfx 这个插件里的Reverb 这个是加混响,参数如图:

Quartus_II_9.0_使用初级教程

Quartus Ⅱ 9.0 使用教程(初级) Quartus Ⅱ 是Altera 公司推出的专业EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的EDA 工具进行初步的学习。使大家以后的数字系统设计更加容易上手。 第一步:打开软件 ● 快捷工具栏:提供设置(setting ),编译( compile )等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 ● 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 ● 编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当 显示100%是表示编译或者综合通过。 ● 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

第二步:新建工程(file>new Project Wizard ) 1 工程名称: 2添加已有文件(没有已有文件的直接跳过next )

3 选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯片) 4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None ,然后next )

5 工程建立完成(点finish) 第三步:添加文件(file>new> VHDL file),新建完成之后要先保存。

第四步:编写程序 3-8译码器的VHDL描述源文件如下: library ieee; use ieee.std_logic_1164.all; entity decoder3_8 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0)); end decoder3_8; architecture example_1 of decoder3_8 is signal sel:std_logic_vector(3 downto 0); begin sel<=A & EN; with sel select Y <= "11111110" when "0001", "11111101" when "0011", "11111011" when "0101", "11110111" when "0111", "11101111" when "1001", "11011111" when "1011",

CoolEditPro使用教程一教程

CoolEditPro使用教程一教程: Cool Edit Pro使用教程 Cool Edit Pro简单好用,效果强大,功能齐全,这些优点就不多说了.下面我们以录制一首多轨的歌曲(配器包括2把吉他,贝斯,鼓,弦乐,人声)为例,一起看看它是怎么使用的. 录音过程讲解里面的很多技巧和手段,都是骑士本人在实践中摸索出来的,希望对大家有帮助和启发. 对文中有任何不理解的地方,或是觉得有争议想和我进一步探讨的话,请访问和我交流,或者Email给我knightcsstone@https://www.doczj.com/doc/849441781.html, 首先声明,我们下面录制的歌曲是以一般的摇滚歌曲为范本,配器也相对简单,但只要掌握了软件的用法,再复杂的音乐也一样轻松录制. 本文包括如下步骤: 1.打点 2.设置录音环境 3.吉他,贝斯的录制 4.从Cakewalk中调用弦乐midi 5.做鼓,录制人声 6.一些使用窍门 7.单轨的分别编辑 8.整体混音 第一步:打点 Cool Edit Pro里面没有节拍器,很多朋友都是一边开着cakewalk等软件,放着节奏,然后录音,这样就出现了"同步"的问题.如果搞不好,在后期制作中就要费很大力气去移动,拼贴波形. 骑士从来不会面对这些问题,因为我最讨厌去用鼠标把音频块移来移去,然后一遍遍的听位置是否合适,太累了.我也对"同步"这个概念避而远之.那么,我是怎么作的呢? 首先,用一个鼓机软件根据歌曲的速度做一个节拍.这里的鼓机软件我推荐Hammer,我也一直用它,很小的绿色软件,只有1兆大小,但是非常好用, 音色也相当硬朗有力.(这个软件这里先不介绍太多,实际上它的作用不仅仅是个打点的工具)当然,DT-10和Fruityloops也可以,只是觉得没有必要用那么专业的软件只是来做一个节拍.一定有人说:"Hammer是什么,我没有听说过,也没有见过!!",很好,那么现在立即去 https://www.doczj.com/doc/849441781.html,下载吧. 好,现在假设我们的歌速度是120.或者你也可以打开鼓机软件,边弹琴(唱歌),边调节节拍速度直到你觉得合适为止,这时软件上显示的速度,就是你歌的速度. 请看,这就是Hammer的界面: Tempo就是速度,这里根据我们要录的歌,设为120. 底下那一排主要设置的是鼓点,我设置的是最简单的"洞达,洞洞达". 速度定好以后,看图上的那个下拉菜单,选中"Stream to Disk"项,把节拍存成一个.wav文件.这个文件非常重要,它将在Cool Edit中大展身手,成为以后录制的所有音频的模范-------这个后面自然会得到证实:). 注意在存储的对话框里,"Stream Type"中一定要选"Current measure",只保存一个小节.如图: 对了,还有一点需要提醒:在存储鼓点文件的时候,最好把文件名存为"120.wav",即以速度为文件名,这样你永远不会忘了你的歌曲的速度了. 这个节拍文件到歌曲最后混音的时候就没有用了,但在录音和前期剪辑的时候,还是很有用的.所以,最好选个好听点的节奏和鼓的音色,免得你录音的时候耳朵遭罪,还影响弹琴或者唱歌的发挥:) 有了节拍,就可以打开Cool Edit Pro,准备录音了! 第二步: 设置录音环境 打开Cool Edit Pro,进入多轨录音状态.(如果默认是单轨环境,按一下F12键即可切换,或者点击如图的箭头所指的切换按钮) 多轨状态 单轨状态 首先要做的,就是把我们前面做好的节拍文件插入在第一轨里面,作为一个参照轨.(怎么"参照"后面讲) 如图所示,在箭头1所指的黄线处点击鼠标右键,然后在弹出菜单里面选择"插入----wave from File...",如图箭头2所指. 然后在对话框里面,选择保存过的那个"120.wav"文件. 这时候,在第一轨里面将插入了一个波形文件----120.wav 下来要做的是,将这个节奏在第一轨里面复制多次,请看下图:

CoolEditPro2.1简单实用教程.

Cool Edit Pro2.1简单实用教程 <一 >:Cool Edit Pro是一个集录音、混音、编辑于一体的多轨数字音频编辑软件。 这里我们以 2.1版本为例介绍一下在一般制作编辑音频时经常用到的功能的使用方法。 先安装 Cool Edit Pro 1.2(下简称“CE” ,然后启动它。 首先介绍一下菜单和快捷工具栏的情况。 File(文件 :此菜单中包含了常用的新建、打开、关闭、存储、另存为等命令。 其中的 Open 与 Open As有一点区别,前者是一般的打开命令,而后者的意思是“ 打开为” ,点击按钮后,会出现一个格式转换窗口,对里面的参数进行设置,即选择你希望的格式后点“OK” ,经过一段漫长(2-4分钟的等待后,你的原音频文件就转换成你刚才所选择的格式了。其实这个过程可以先用 Open 来打开文件, 再用 Edit 中的Convert Sample Type进行格式转换来实现。 Open Append则是将打开的音频文件接在已打开的文件尾部, 这样 2个音频文件就拼接成一个大的音频波形文件了。 Revert to Saved则是重新载入以前最后一次存盘的文件,当前的所有操作全部忽略。其实这一步可以用先关闭该文件(不存盘 ,然后再打开该文件来实现。 Close 就是关闭当前波形文件的意思。 Close All Waves and Session关闭所有的波形文件 (包括正在使用的文件和在当前任务中没有使用的文件和任务(指多轨操作时的整个工作环境,暂时称它为“ 任务” 。只要使用这条命令,所有正在工作的“ 垃圾” 和“ 垃圾箱” 将被全部剔除。Close Only Non-Session Wavefrom关闭与当前任务无关的波形文件。 Save 和 Save As就不说了

QuartusII10.0简明教程

Quartus ii 10.0教程 说明 本文的部分章节,来源于本人翻译的Terasic DE2-115的英文入门文档。 平台 硬件:艾米电子EP2C8-2010增强版套件 软件:Quartus II 10.0 + ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 ?典型的CAD流程 ?开始 ?新建工程 ?录入Verilog设计 ?编译设计 ?引脚分配 ?仿真设计电路 ?编程及配置到FPGA器件 ?测试设计电路 典型的CAD流程 计算机辅助设计(CAD)软件,使得运用可编程逻辑器件实现所需逻辑电路,变得容易。比如现场可编程门阵列(FPGA)。典型的FPGA CAD设计流程如图1所示。

图1 典型的FPGA CAD设计流程 CAD流程包含以下步骤: ?设计输入——所需电路可通过原理图方式或硬件描述语言方式(如Verilog或VHDL)进行设计。 ?综合——输入的设计被综合进入由逻辑元素(LEs,FPGA芯片提供)组成的电路中。 ?功能仿真——综合电路被测试以验证其功能是否正确,次仿真不考虑时序因素。 ?布局布线——CAD Fitter工具决定网表中定义的LEs如何布置成FPGA芯片中的实际LEs。 ?时序分析——分析已布局布线电路中的不同路径的传播延迟,用以指示所需电路的性能。 ?时序仿真——测试已布局布线电路,验证其是否在功能和时序上都正确。 ?编程及配置——设计的电路,通过编程配置开关,被实现到一个物理的FPGA芯片。 配置开关用于配置LEs和建立所需线路连接。 本指南介绍Quartus II软件的基本特征。展示如何使用Verilog硬件描述语言来设计和实现电路。使用GUI来实现Quartus II指令。通过本份指南,读者将学习到: ?新建工程 ?使用Verilog代码录入设计 ?将综合的电路布局到Altera FPGA ?分配电路的输入输出到FPGA上的指定引脚 ?仿真设计电路 ?编程配置艾米电子EP2C8核心板上的FPGA芯片 1. 开始 在Quartus II中设计的每个逻辑电路或子电路,叫做一个工程。软件每次运行一个工程,并将所有信息保存在单一文件夹中。欲开始一个新的逻辑电路设计,第一步就是新建一个文件夹来保存文件。为了保存本指南的设计文件,在D盘新建introtutorial文件夹。指南者运行的范例为一个简单的双路灯控电路。 打开Quartus II软件,将看到类似于图2的画面。该显示画面包括若干窗口,用户可使用鼠标选择,以访问Quartus II软件的相关功能。Quartus II提供的大多数命令都可用菜单形式来访问。例如,在图2中,在File标签下点击左键,可打开如图3所示的菜单。用左键单击Exit可退出Quartus II软件。

CoolEditProv简单录音教程

Cool Edit Pro v2.1简单录音教程 现在进行试录音,先准备个耳机,不能用音箱,因为那样杂音会收录进去,麦如果是独立最好了,不是耳机上面带的那种,那样效果会好些,家里的麦克风也行,买个转接头就可以接上电脑了。 接下来调下系统声音的音量,调大些好,再调下声音属性里的麦克风音量,也调大些,不超过系统音量就好。 基本准备就绪了,可以开始录音了,运行Cool Edit Pro v2.1软件,点击工具栏上的查看----多轨操作窗,在音轨1放入音频文件(也就是伴奏带),放好后,把音轨2右边的“R”键点亮,再按下“录音1”按钮,选择下你的录音设备,一般按确定即可。接下来可以开始正式录音了,在左下角有一个录音按钮,按下它就可以跟着伴奏一起录制了(附图)

录好后,按下左下角的停止按钮,可以看到你的声音在音轨2里形成了一段波形文件,现在可以点击左下角的播音按钮进行试听一下,如果没什么大差错的话,则双击音轨2,进入单轨编辑界面,进行效果编辑。(附图)

进入单轨编辑窗后,展开工具栏的效果---Directx,之前安装的插件全在这里了,现在可以对你的声音进行加效果处理(如图)

首先点击BBESonicMaxizer效果插件,它可以对你的声音进行高音激励,你可以自己调节旋钮,也可以通过软件预设的模式对你的声音进行激励处理,过程中你可以先预览,直到你满意为止就可以确认了(附图)

接下来就是做下压限处理,就是把你的声音变的更加均衡,保持一致连贯,不会声音忽大忽小。做压限的话就用waveC4好了,另外waves5.0比原来的3.0增强了许多,你也可以试下waves5.0里其他的插件。(附图)

Quartus II教程

第3章 Altera Quartus II软件开发向导 基于Altera Quartus II软件的设计方法有模块编辑法、文本编辑法、宏模块编辑法和包含前三种方法的混合编辑法。宏模块设计法放在第6章讲述,本章通过实例简单介绍使用Quartus II软件的模块原理图编辑法、文本编辑法和包含前两种方法的混合编辑法。 3.1模块编辑及设计流程 Quartus II软件的模块编辑器以原理图的形式和图标模块的形式来编辑输入文件。每个模块文件包含设计中代表逻辑的框图和符号。模块编辑器可以将框图、原理图或符号集中起来,用信号线、总线或管道连接起来形成设计,并在此基础上生成模块符号文件(.bdf)、AHDL Include文件(.inc)和HDL 文件。 3.1.1 原理图输入文件的建立 在这里我们设计非常简单一个二输入的或门电路。它只包含一个或门、两个输入引脚和一个输出引脚。首先创建一个原理图形式的输入文件。步骤如下: (1)打开模块编辑器 单击【File】|【New】,弹出新建文件对话框,如图3.1所示。 图3.1 新建文件对话框 选择文件类型【Block Diagram/Schematic File】,打开模块编辑器,如图3.2所示。使用该编辑器可以编辑图标模块,也可以编辑原理图。

·217· 图3.2 模块编辑器 Quartus II提供了大量的常用的基本单元和宏功能模块,在模块编辑器中可以直接调用它们。在模块编辑器要插入元件的地方单击鼠标左键,会出现小黑点,称为插入点。然后鼠标左键,弹出【Symbol】对话框,如图3.3所示。或者在工具栏中单击图标,也可打开该对话框。 图3.3 Symbol对话框 在Symbol对话框左边的元件库【Libraries】中包含了Quartus II提供的元件。它们存放在\altera\quartus60\libraries\的子目录下,分为primitives、others、megafunctions三个大类。 基本逻辑函数(primitives) 基本逻辑函数存放在\altera\quartus60\libraries\ primitives\的子目录下,分别为缓冲逻辑单元(buffer)、基本逻辑单元(logic)、其它单元(other)、引脚单元(pin)和存储单元(storage)五个子类。Buffer子类中包含的是缓冲逻辑器件,如alt_in buffer、alt_out_buffer、wire等;logic子类中包含的是基本逻辑器件,如and、or、xor等门电路器件;other子类中包含的是常量单元,如constant、vcc和gnd等;pin子类中包含的是输入、输出和双向引脚单元;storage子类中包含的是各类触发器,如dff、tff等。

Cool Edit使用教程

CoolEdit 2.0的安装和设置技巧 如何安装和设置CoolEdit pro 2.0 (以下简称COOL)。 首先,我们要从网上下载 CoolEdit pro 2.0 的安装包,其中应该包括以下几个东西(图1): 每个东东的用途都已经写在图上了,大家可以自己看一下。 下面我们就开始安装 CoolEdit pro 2.0 了。 首先运行主安装程序cooledit2setup.exe(图2),然后我们就可以看到Cool的安装界面,下面我们一步一步进行安装。 首先是cool的版权信息,我们直接选择同意(Accept license agreement),然后下一步(continue)(图3)。

然后是cool的欢迎信息,我们同样直接下一步(Next)(图4)。 接下来是选择cool的安装路径,如果你想更改cool的安装路径,可以点击Browse,指定你想安装到的路径。这里我们直接点击下一步(Next)(图5)。 然后就到了cool的文件类型链接设置了,也就是只要打勾的文件,系统都会默认用cool 打开,因为其它格式都不常见,所以这里我们只把.wav的勾去掉(wav文件我们通常都用播放器打开)(图6)。

现在我们安装前的设置就完成了,点Next,开始安装,复制程序文件(图7)。 文件复制完以后,cool就安装完了,我们点击Exit完成安装(图8)。 这个时候cool会开始第一次的运行。 程序会自动搜索你的电脑上以temp为名的目录,并且提示你设置你的cool临时文件目

录(图9),我们这里全部选NO,以后再设置。 然后是提示注册的对话框,因为我们等一下会注册,所以这里选择Buy Now,先进入程序。(图10) 进入程序以后,会有一个在线注册的提示框,直接点取消。(图11) 到这里,cool的第一次启动就完成了,然后我们把cool关掉。 接下来我们开始给cool注册,运行注册机cep2reg.exe。(图12) 同样的,选择Accept,进入下一步。 继续点下一步(continue)。 然后会提示我们输入注册码,我们将cooledit2key.txt里面的注册名和注册码填入。 (图13)

相关主题
相关文档 最新文档