当前位置:文档之家› 我的大作业

我的大作业

我的大作业
我的大作业

基于FPGA的数字系统设计

大作业

学号:13101378

姓名:苑蓓蓓

班级:131014

题目一:设计Parwan 的control section 内部状态机s1\s2\..\s9\,并给出功能仿真?

题目二:利用分层结构设计ParwanCPU,并给出功能仿真? (利用在实验课中所给出的TESTBENCH)

实验原理图

Control Section Structure:s1…s9(如下图所示)

Inputs and outputs of PARW AN control sections: –Applied to, categories, signal name, functions

实验过程

1.1 创建工程

(1)打开ISE13.x软件,选择File->New Project在弹出的对话框中输入工程名和路径。(2)单击下一步选择所使用的芯片。Spartan3E开发板的芯片型号为Spartan3E XC3S500E芯片,FG320封装。

(3)单击Next,进入工程信息页面,确认无误后,点击Finish完成工程的创建。1.2 测试文件

(1) 选择菜单栏中的Project->New Source。

(2) 在Select Source Type窗口中,选择左侧的VHDL Test Bench,在右侧File Name栏中输入文件名par_control_unit_tb

(3) 单击Next按钮,选择关联文件。

1.3 实验截图

实验代码

在实现过程中,除了定义CPU的信号接口外,还设置了一个输出类型的接口,名字叫present_state_value,主要是用来在调试或仿真的过程中输出CPU 所处的状态,便于调试分析。

整个状态机的实现过程主要使用了case …IS …when 逻辑结构。用了present_state 和next_state两个状态变量。详细的实现代码如下所示:LIBRARY IEEE;

USE IEEE.std_logic_1164.ALL;

USE work.synthesis_utilities.ALL;

--

ENTITY par_control_unit IS

PORT (clk : IN std_logic;

-- register control signals:

load_ac, zero_ac,

load_ir,

increment_pc, load_page_pc, load_offset_pc, reset_pc,

load_page_mar, load_offset_mar,

load_sr, cm_carry_sr,

-- bus connection control signals:

pc_on_mar_page_bus, ir_on_mar_page_bus,

pc_on_mar_offset_bus, dbus_on_mar_offset_bus,

pc_offset_on_dbus, obus_on_dbus, databus_on_dbus,

mar_on_adbus,

dbus_on_databus,

-- logic unit function control outputs:

arith_shift_left, arith_shift_right : OUT std_logic;

alu_and,alu_not,alu_a,alu_add,alu_b,alu_sub: out std_logic;

-- inputs from the data section:

ir_lines : IN std_logic_vector (7 DOWNTO 0);

status : IN std_logic_vector (3 DOWNTO 0);

-- memory control and other external signals:

read_mem, write_mem : OUT std_logic; interrupt : IN std_logic;

--test

present_state_value: out std_logic_vector (3 DOWNTO 0)

);

END par_control_unit;

--

ARCHITECTURE dataflow_synthesizable OF par_control_unit IS TYPE cpu_states IS (s1,s2,s3,s4,s5,s6,s7,s8,s9);

SIGNAL present_state, next_state : cpu_states;

SIGNAL next_state_value: std_logic_vector (3 DOWNTO 0); BEGIN

clocking : PROCESS (clk, interrupt)

BEGIN

IF (interrupt = '1') THEN

present_state <= s1;

present_state_value <="0001";

ELSIF clk'EVENT AND clk = '0' THEN

present_state <= next_state;

present_state_value <=next_state_value;

END IF;

END PROCESS clocking;

--

sequencing : PROCESS ( present_state, ir_lines, status,

interrupt)

BEGIN

load_ac <= '0'; zero_ac <= '0'; load_ir <= '0';

increment_pc <= '0';

load_page_pc <= '0'; load_offset_pc <= '0'; reset_pc

<= '0';

load_page_mar <= '0'; load_offset_mar <= '0';

load_sr <= '0'; cm_carry_sr <= '0';

-- bus connection control signals:

pc_on_mar_page_bus <= '0'; ir_on_mar_page_bus <= '0';

pc_on_mar_offset_bus <= '0'; dbus_on_mar_offset_bus <=

'0';

pc_offset_on_dbus <= '0'; obus_on_dbus <= '0';

databus_on_dbus <= '0';

mar_on_adbus <= '0'; dbus_on_databus <= '0';

-- logic unit function control outputs:

arith_shift_left <= '0'; arith_shift_right <= '0';

alu_and <='0';alu_not <='0';alu_a <='0';alu_add <='0';alu_b <='0';alu_sub <='0';

-- memory control and other external signals:

read_mem <= '0'; write_mem <= '0';

CASE present_state IS

WHEN s1 =>

-------------------------------------------1

IF (interrupt = '1') THEN

reset_pc <= '1';

next_state <= s1;

next_state_value <="0001";

ELSE

pc_on_mar_page_bus <= '1';

pc_on_mar_offset_bus <= '1';

load_page_mar <= '1';

load_offset_mar <= '1';

next_state <= s2;

next_state_value <="0010";

END IF;

WHEN s2 =>

---------------------------------------2

-- read memory into ir

mar_on_adbus <= '1';

read_mem <= '1';

databus_on_dbus <= '1';

alu_a <= '1';

load_ir <= '1';

increment_pc <= '1';

next_state <= s3;

next_state_value <="0011";

WHEN s3 =>

--------------------------------------3

pc_on_mar_page_bus <= '1';

pc_on_mar_offset_bus <= '1';

load_page_mar <= '1';

load_offset_mar <= '1';

IF (ir_lines (7 DOWNTO 4) /= "1110") THEN next_state <= s4;

next_state_value <="0100";

ELSE

CASE ir_lines (3 DOWNTO 0) IS

WHEN "0001" => --cla

zero_ac <= '1';

load_ac <= '1';

WHEN "0100" => --cmc

cm_carry_sr <= '1';

WHEN "1000" => --asl

alu_b <= '1';

arith_shift_left <= '1';

load_sr <= '1';

load_ac <= '1';

WHEN "1001" => --asr

alu_b <= '1';

arith_shift_right <= '1';

load_sr <= '1';

load_ac <= '1';

WHEN OTHERS => NULL;

END CASE;

next_state <= s2;

next_state_value <="0010";

END IF;

WHEN s4 =>

----------------------------------------4

-- read memory into mar offset

mar_on_adbus <= '1';

read_mem <= '1';

databus_on_dbus <= '1';

dbus_on_mar_offset_bus <= '1';

load_offset_mar <= '1';

IF ( ir_lines (7 DOWNTO 6) /= "11" ) THEN ir_on_mar_page_bus <= '1';

load_page_mar <= '1';

IF ( ir_lines (4) = '1' )

THEN

next_state <= s5;

next_state_value <="0101";

ELSE

next_state <= s6;

next_state_value <="0110";

END IF;

ELSE --jsr or bra, do not alter mar

-- page

IF ( ir_lines (5) = '0' ) THEN

-- jsr

next_state <= s7;

next_state_value <="0111";

ELSE

next_state <= s9;

next_state_value <="1001";

END IF;

END IF;

increment_pc <= '1';

WHEN s5 =>

---------------------------------------5

-- read actual operand from memory into mar

-- offset

mar_on_adbus <= '1';

read_mem <= '1';

databus_on_dbus <= '1';

dbus_on_mar_offset_bus <= '1';

load_offset_mar <= '1';

next_state <= s6;

next_state_value <="0110";

WHEN s6 =>

--------------------------------------6

IF ( ir_lines (7 DOWNTO 5) = "100" ) THEN --jmp

load_page_pc <= '1';

load_offset_pc <= '1';

next_state <= s2;

next_state_value <="0010";

ELSIF ( ir_lines (7 DOWNTO 5) = "101" ) THEN

-- mar on adbus, ac on databus, write

--to memory

mar_on_adbus <= '1';

alu_b<= '1';

obus_on_dbus <= '1';

dbus_on_databus <= '1';

write_mem <= '1';

next_state <= s1;

next_state_value <="0001";

ELSIF ( ir_lines (7) = '0' ) THEN ------

--lda, and, add, sub

-- mar on adbus, read memory for

--operand, perform operation

mar_on_adbus <= '1';

read_mem <= '1';

databus_on_dbus <= '1';

IF ( ir_lines (6) = '0' ) THEN

---- lda, and

IF ( ir_lines (5) = '0' )

THEN -- lda

alu_a<= '1';

ELSE -- and

alu_and<= '1';

END IF;

ELSE ---- add, sub

IF ( ir_lines (5) = '0' )

THEN -- add

alu_add<= '1';

ELSE -- sub

alu_sub<= '1';

END IF;

END IF;

load_sr <= '1';

load_ac <= '1';

next_state <= s1;

next_state_value <="0001";

END IF;

WHEN s7 =>

--------------------------------------------7

-- write pc offset to top of subroutine

mar_on_adbus <= '1';

pc_offset_on_dbus <= '1';

dbus_on_databus <= '1';

write_mem <= '1';

load_offset_pc <= '1';

next_state <= s8;

next_state_value <="1000";

WHEN s8 =>

--------------------------------------8

increment_pc <= '1';

next_state <= s1;

next_state_value <="0001";

WHEN s9 =>

-----------------------------------------9

IF ( all_or (status AND ir_lines (3 DOWNTO 0)) = '1') THEN

load_offset_pc <= '1';

END IF;

next_state <= s1;

next_state_value <="0001";

实验原理 PARWAN Memory

Testbench

databus

adbus

Control signal

实验过程

2.1 创建工程

(1) 打开ISE13.x 软件,选择File->New Project 在弹出的对话框中输入工程名和路径。

(2)单击下一步选择所使用的芯片。Spartan3E开发板的芯片型号为Spartan3E XC3S500E芯片,FG320封装。

(3)单击Next,进入工程信息页面,确认无误后,点击Finish完成工程的创建。

2.2设计输入

选择Project->Add copy of source,将实验的源代码添加到工程中。

2.3综合实现

(1)编写汇编测试代码

(2)用文本编辑器打开实验源代码中的simple.asm文件。

(3)将测试代码转换为内存文件

(4)编译并执行程序

2.4设计仿真

2.5结果截图

编写testbench代码对以上的状态机进行功能仿真。Testbench的核心代码如下:

stim_proc: process

begin

-- hold reset state for 100 ns.

wait for 10 ns;

ir_lines <= "01101111"; --SUB loc

wait for clk_period*10;

ir_lines <= "10001111"; --JMP loc

wait for clk_period*10;

ir_lines <= "10101111"; --STA loc

wait for clk_period*10;

ir_lines <= "11111000"; --BRA_V_addr

wait for clk_period*10;

ir_lines <= "11100001"; --CLA

wait for clk_period*10;

end process;

由上代码可见,在测试波形中,选取了4种ir_line的可能值来测试。下面选择两处仿真波形进行分析:

图5.1 “STA loc”仿真波形图

从“STA loc”仿真波形图中,连续的时钟周期里,ir_line的值都为“10101111”,可知该指令是“STA 1111”。结合parwan的状态转换关系来看,一开始CPU处于s1状态,由于interrupt=‘0’,转到s2状态,再转到s3状态,由于ir_line(7to4)!=”1110”,转到s4状态,由于ir_line(7to4)=”11X0”

转到s6状态,最后由于ir_line(7to5)=”1010”转回s1,完成一次循环周期。还可以看到在相应的状态,相应的信号会被设为“1”,如s1中,信号load_page_mar、load_offset_mar、pc_on_mar_page_bus和pc_on_mar_offset_bus等信号为“1”,状态机的设计相符。

图5.2 “CLA”仿真波形图

从“CLA”仿真波形图中,我们主要从黄线部分开始往后看,在这的一个时钟周期后ir_line的值都为“11100001”,parwan的指令集可知指令是“CLA”。结合parwan的状态设计来看,一开始CPU处于s1状态,由于interrupt=‘0’,转到s2状态,再转到s3状态,由于ir_line(7to4)=”1110”,转到s2状态,最后在s2和s3中循环。验证了程序的正确性。

实验总结:本次作业以以前四次上机为基础,通过利用上机所得的实验能力和部分实验代码,进行本次的Parwan CPU设计,基本上来说,本次作业要比上机更有难度,首先,进行的一次综合性实验,其次,上机时均给出了实验步骤。但不可否认的是,本次实验更能提高我们对Parwan CPU的理解,对提高我们的实验能力更有帮助。

华师大 大数据库系统概论 平时作业

《数据库系统概论》作业 第一章概述 1. 层次模型的数据结构是__树形___结构;网状模型的数据结构是网状结构;关系模型的数据结构是__二维表_____结构。 2.数据库系统具有“数据独立性”特点的原因是因为在数据库系统中(B)(A)、采用磁盘作为外存(B)、采用三级模式结构 (C)、使用OS来访问数据(D)、用宿主语言编写应用程序 3. 数据库(DB)、数据库系统(DBS)和数据库管理系统(DBMS)三者之间的关系是( A )。 (A)、 DBS包括DB和DBMS (B)、 DBMS包括DB和DBS (C)、 DB包括DBS和DBMS (D)、 DBS就是DB,也就是DBMS 4.数据库系统的数据独立性体现在(B) (A)、不会因为数据的变化而影响到应用程序 (B)、不会因为系统数据存储结构和数据逻辑结构的变化而影响应用程序(C)、不会因为存储策略的变化而影响存储结构 (D)、不会因为某些存储结构的变化而影响其他的存储结构 5.要保证数据库的数据独立性,需要修改的是( A ) (A)、模式与外模式 (B)、模式与内模式 (C)、三层之间的两种映射 (D)、三层模式 6.下述( D )不是DBA(数据库管理员)的职责。 (A)、完整性约束说明(B)、定义数据库模式 (C)、数据库安全(D)、数据库管理系统设计 7.( B )是按照一定的数据模型组织的,长期储存在计算机内,可为多个用户共享的数据的集合。 (A)、数据库系统(B)、数据库 (C)、关系数据库(D)、数据库管理系统 8.数据模型的三要素是( D )。

(A)、外模式、模式、内模式 (B)、关系模型、层次模型、网状模型 (C)、实体、联系、属性 (D)、数据结构、数据操作、完整性约束 9.简述数据库系统的主要特点。 (1)、数据结构化数据库系统实现整体数据的结构化,这是数据库的主要特征之一,也是数据库系统与文件系统的本质区别。(2)数据的共享性高,冗余度低,易扩充数据库的数据不再面向某个应用而是面向整个系统,(3)数据独立性高数据独立性包括数据的物理独立性和数据的逻辑独立性。(4)数据由DBMS统一管理和控制数据库的共享是并发的共享,即多个用户可以同时存取数据库中的数据甚至可以同时存取数据库中同一个数据。 10.简述数据库系统的三级模式和两级映像。 答:数据库系统的三级模式结构是指数据库系统是由外模式、模式和内模式三级构成。模式也称逻辑模式,是数据库中全体数据的逻辑结构和特征的描述,是所有用户的公共数据视图。模式实际上是数据库数据在逻辑级上的视图,一个数据库只有一个模式,数据库模式以某一种数据模型为基础,统一综合地考虑了所有用户的需求,并将这些需求有机地结合成一个逻辑整体。外模式也称子模式或用户模式,它是数据库用户(包括应用程序员和最终用户)能够看见和使用的局部数据的逻辑结构和特征的描述,是数据库用户的数据视图,是与某一应用有关的数据购逻辑表示。外模式通常是模式的子集。一个数据库可以有多个外模式。内模式也称存储模式,一个数据库只有一个内模式,它是数据物理结构和存储方式的描述,是数据在数据库内部的表示方式。

大作业说明书

大作业说明书

课程设计 《考试系统》 课程设计说明书 学生姓名阿娜尔古丽·台外库力学号 所属学院信息工程学院 专业计算机科学与技术 班级计算机15-1 指导教师牛荣 教师职称副教授 塔里木大学教务处制

摘要:随着计算机网络的普及,利用网络环境进行考试成为教育考试发展的一个趋势。网络考试的形式较为明显的避免了传统考试各环节的人为主观因素,较之传统考试更快捷、更公平、更安全地安排考试工作,将教师从辛苦而又繁琐的组卷、阅卷、分析成绩等工作中解放出来。 本论文研究和分析了当下网络考试系统的发展现状,针对考试组织管理的特点和应用的具体要求,提出了基于JSP的在线考试系统分析与设计的方案。以本系统的工作流程为基础,从业务需求、系统的数据库设计、各项子功能介绍等方面进行了论述,而且对当下已有的网络考试系统实现存在的一些问题进行了论述,为系统的开发和实施提供了一套切实可行、全面先进的理论体系和技术指导。论文还对系统的实现技术,包括开发语言、开发工具进行了介绍,最后对系统的核心功能给出了实现过程,以及相关界面的截图。 关键词: https://www.doczj.com/doc/888339617.html,平台数据库考试系统系统开发

目录 1.概况.................................................................. 错误!未定义书签。 1.1 研究背景 .................................................. 错误!未定义书签。 1.2 国内外研究现状....................................... 错误!未定义书签。 2.考试系统的系统简介 ...................................... 错误!未定义书签。 2.1 研究目的 .................................................. 错误!未定义书签。 2.2研究思路和内容....................................... 错误!未定义书签。 3. 基于web的考试系统设计............................. 错误!未定义书签。 3.1系统需求分析........................................... 错误!未定义书签。 3.2系统功能分析........................................... 错误!未定义书签。 3.3设计思想及特点....................................... 错误!未定义书签。 3.4 可行性研究及需求分析 ........................... 错误!未定义书签。 4.系统详细设计 .................................................. 错误!未定义书签。 4.1 设计目标 .................................................. 错误!未定义书签。 4.2数据库设计 .............................................. 错误!未定义书签。 4.3数据库设计实现....................................... 错误!未定义书签。 5.程序功能的实现 .............................................. 错误!未定义书签。 5.1.登录系统设计与实现............................... 错误!未定义书签。总结..................................................................... 错误!未定义书签。参考文献............................................................. 错误!未定义书签。

数据库大作业设计题目

《数据库原理及技术》大作业大纲 类同卷,网上抄袭,大作业格式不正确一律0分处理 一、课程设计的目的和要求 (1)培养学生运用所学课程《数据库原理及技术》的理论知识和技能,深入理解《数据库原理及技术》课程相关的理论知识,学会分析实际问题的能力。 (2)培养学生掌握用《数据库原理及技术》的知识设计计算机应用课题的思想和方法。 (3)培养学生调查研究、查阅技术文献、资料、手册以及编写技术文献的能力。 (4)通过课程大作业,要求学生在教师的指导下,独立完成大作业要求的相关内容,包括: ①通过调查研究和运用Internet,收集和调查有关资料、最新技术信息。 ②基本掌握撰写小论文的基本步骤和写作方法。 ③根据课题的要求基本理解和掌握E-R图的设计方法和关系模式的转换。 ④根据课题的要求基本理解和掌握数据流图(DFD)和数据字典(DD)的设计方法。 ⑤创建数据库及各种数据库对象。 二、课程设计题目 要求: (1)任选下列一个题目,调查分析一个具体的或模拟的实例; (2)描述该实例的业务信息和管理工作的要求; (3)列出实体、联系; (4)指出实体和联系的属性; (5)画出E-R图; (6)将E-R图转换成关系模式,并注明主码和外码; (7)建立数据字典; (8)创建数据库; (9)根据题目的要求写查询、存储过程、触发器等。 题目: (1)学校图书借阅管理系统 功能要求: ●实现图书信息、类别、出版社等信息的管理; ●实现读者信息、借阅证信息的管理; ●实现图书的借阅、续借、归还管理; ●实现超期罚款管理、收款管理; ●创建触发器,分别实现借书和还书时自动更新图书信息的在册数量;

大数据挖掘作业

实用标准文案 数据挖掘的第二次作业 1.下表由雇员数据库的训练数据组成,数据已泛化。例如,年龄“31…35”表示31到35的之间。 对于给定的行,count表示department, status, age和salary在该行上具有给定值的元组数。 status是类标号属性。 1)如何修改基本决策树算法,以便考虑每个广义数据元组(即每个行)的count。 Status 分为2个部分: Department分为4个部分: Senior 共计52 Sales 共计110 Junior 共计113 Systems 共计 31 Marketing 共计14 Secretary 共计10 Age分为6个部分: Salary分为6各部分: 21…25 共计20 26K…30K 共计46 26…30 共计49 31K…35K 共计40 31…35 共计79 36K…40K 共计4 36…40 共计10 41K…45K 共计4 41…45 共计3 46K…50K 共计63

46…50 共计4 66K…70K 共计8 位 精彩文档. 实用标准文案 位 位 位 位 位 位 ,所以departmentagesalary由以上的计算知按信息增益从大到小对属性排列依次为:、、作为 第一层,之后剩下的数据如下:定salary 由这个表可知department和age的信息增益将都为0。所以第二层可以为age也可以为

department。 2)构造给定数据的决策树。 由上一小问的计算所构造的决策树如下: 精彩文档. 实用标准文案 Salary 26K:30K 66K:70K 31K:35K Junior Senior 36K:40K 41K:45K 46K:50K Junior Junior Senior Age 21:25 26:30 36:40 31:35 Junior Senior Junior Senior

课程大作业(样本)

汽车与交通学院 课程大作业说明书 课程名称: 课程代码: 题目: 年级/专业/班: 学生姓名: 学号: 开始时间:年月日 完成时间:年月日 课程大作业成绩: 指导教师签名:年月日

目录(小三黑体,居中) 摘要 (1) 1 引言………………………………………………………………………………………… 2 方案设计…………………………………………………………………………………2.1步进电机步距角误差测量系统总体方案的设计……………………………………… 2.1.1系统的驱动控制方案设计………………………………………………………………… 结论…………………………………………………………………………………………致谢…………………………………………………………………………………………参考文献…………………………………………………………………………………… (所有的均为1.5倍行距,未具体指明使用字体的均为小四宋体,以下同)

摘要(小三楷体_GB2312加黑,居中)随着计算机的普及……(小四楷体_GB2312) 关键词:网络化计算机对策(小四楷体_GB2312)

1 引言(小三黑体) 1.1 问题的提出(四号黑体) 随着计算机的普及,网络进入大众的家庭……(小四号宋体) … 1.5任务与分析(四号黑体) 本课题主要的目的是为了检测步进电机步距角误差,并把误差进行分析计算,最终得到一份误差数据,用误差曲线的方式在坐标上面把误差和步数结果表现出来,方便日后对误差的分析、误差的减少讨论出相应的方案…

2方案设计(或分析)(小三黑体) … 2.1步进电机步距角误差测量系统总体方案的设计(或分析或程序设计)(四号黑体) …… 2.1.1系统的驱动控制方案设计(或分析或程序设计等)(小四号黑体) …… 结论(小三黑体,居中)通过……可以得出…… 致谢(小三黑体,居中) …… 参考文献(小三黑体,居中) [1]杨宝刚.开展企业管理信息化工作的步骤[J].企业管理.2002.(11).12~15 [2]Islamabad. Software tools for forgery detection[J]. Business line.2001. (5). 29~32 ……

大作业-计算机网络

大作业要求 ****(单位、公司、工厂或学校)为背景,设计一个网络建设方案。 方案中应该包括: 根据****(单位、公司、工厂或学校)的组织结构、业务需求完成网络需求分析,确定拓扑方案,完成设备选型,注明各种设备、设施和软件的生产商、名称、型号、配置与价格,基本确定方案的预算。 要求: 1.完成建网进行需求分析,提交需求分析报告; 2、在需求分析的基础上进行系统设计、技术选型,规划、设计网络的逻辑拓扑方案、布线设计等,划分子网,设计子网地址、掩码和网关,为每个子网中的计算机指定IP地址; 3、根据条件进行设备选型,决定各类硬件和软件的配置和经费预算方案; 4、创建局域网内的DNS服务器,配置相关文件,可以对局域网内的主机作域名解析。 ○参□考□样◇例

1、需求分析 随着信息时代的到来,校园网已经成为现代教育背景下的必要基础设施,成为学校提高水平的重要途径。校园网络的主干所承担的信息流量很大,校园网络的建设的目标是在校园内实现多媒体教学、教务管理、通信、双向视频点播(VOD)等信息共享功能,能实现办公的自动化、无纸化。能通过与Internet的互联,为全校师生提供国际互联网上的各种服务。教师可以制作多媒体课件以及在网上保存和查询教学资源,能对学生进行多媒体教学和通过网络对学生进行指导与考查等。学生也可以通过在网上浏览和查询网上学习资源,从而可以更好地进行学习,校园网能为学校的信息化建设打下基础。 根据本校园实际情况主要应用需求分析如下: 用户的应用需求:所有用户可以方便地浏览和查询局域网和互联网上的学习资源,通过WWW服务器、电子邮件服务器、文件服务服务器、远程登录等实现远程学习,此外为教务处提供教务管理、学籍管理、办公管理等。 通信需求:通过E-mail及网上BBS以及其它网络功能满足全院师生的通信与信息交换的要求,提供文件数据共享、电子邮箱服务等。 信息点和用户需求:按照要求本校园网内信息点总数为531个, 其中办公区需要的信息点为(111个):教务处(25),各院系办公区(26),图书馆(60);教学区为(400个):除机房需要120个信息点外,其余各系部大楼及教学楼(包括设计艺术系楼、建筑工程系楼、一号楼、大学生活动中心楼、教学主楼、B、C楼等)各需设置信息点的个数为40;生活区为(20个):20个宿舍楼区、食堂等各设置1个信息点。电子邮件服务器、文件服务服务器等为内部单位服务,WWW服务器、远程登录等实现远程学习,从外部网站获得资源。 性能需求:此校园网络支持学校的日常办公和管理,包括:办公自动化、图书管理、档案管理、学生管理、教学管理、财务管理、物资管理等。支持网络多媒体学习的信息传输要求。 安全与管理需求:学生基本信息档案和重要的工作文件要求对数据存储、传输的安全性的性能较高,如图书管理、档案管理、学生管理、教学管理、财务管理、物资管理等可以通过分布式、集中式相集合的方法进行管理。网络安全对于网络系统来说是十分重要的,它直接关系到网络的正常使用。由于校园网与外部网进行互联特别是

大数据作业

大数据环境下,数据存储技术的研究现状及发展前景 1、数据存储技术现状 互联网络从上世纪90年代开始,发展迅速,加快了信息传播和共享的速度,尤其是一些社交网站的兴起,数据量更是以前所未有的速度暴增,文字资料、声音、视频、图像等多媒体数据铺天盖地。据资料显示,上世纪90年代,互联网资源不是很丰富的时代,网民月平均流量1MB左右,之后则快速增长,2000年后,逐渐发展为每月10MB、100MB、1GB,据估计2014年可能会达到10GB。淘宝网每日几千万笔交易,单日数据量达几十TB,数据存储量几十PB,百度公司目前数据总量接近1000PB,存储网页数量接近1万亿页,每天大约要处理60亿次搜索请求,几十PB数据。 随着技术发展,大数据广泛存在。在进入云环境的时代,传统IT系统存储技术面临建设成本高、运维复杂、扩展性有限等问题的挑战,系统存储扩容压力很大,主要表现在以下几个方面:首先,传统存储开放性不足,通常采用软硬件一体化解决方案,标准化程度低,不同厂家系统无法混合使用;其次,成本较高,且市场垄断严重,建设成本居高不下,扩容成本尤其高;再者,扩展性能较差,其单点扩展存在容量上限和接口带宽等限制,面对云时代PB级的海量存储需求,在容量和性能的扩大数据(Big Data)。 2、大数据环境下数据存储需求特点 随着现代信息网络技术发展,数据信息总量正呈指数级爆炸式增长,在如此大量数据产生的时代,用户的数据存储需求具有全新的特点: 2.1 对数据库高并发读写的需求 随着互联网应用的发展,Web 2.0应用强调的是以用户为主,需要根据用户个性化信息来实时生成动态页面和提供动态的信息,目前比较流行的微博就是如此。该类应用对数据库的并发访问的负载就非常高,往往能达到每秒上万次的读写请求,甚至更多。从已有的优秀的数据库产品看来,对于上万次SQL查询还能勉强应付,但是应付上万次SQL写数据请求时,I/O成了瓶颈。 2.2 对海量数据的高效率存储和访问的需求 以Facebook为例,它一个月就达到了2.5亿条用户动态,对于关系数据库来说,在一张拥有2.5亿条记录的表里面进行SQL查询,效率是极其低下乃至无法忍受的。在未来大数据时代,海量数据的高效存储和访问是必须要解决的问题。 2.3 对数据库的高可扩展性和高可用性的需求 在基于W e b 的架构中,数据库是最难进行横向扩展的,当一个Web应用的用户量和访问量与日暴增时,数据库服务器却没办法像Web Server那样简单地通过更多的硬件和服务节点来进行性能扩展与负载分担。对于很多需要不间断

大作业说明(1)资料

Xxx零件加工工艺方案的分析 学生,XXX,XXX (具体过程可参考下面的例子,但包括 包含零件分析、毛坯的确定、工艺路线的拟定(方案对比),每道加工工序定位夹紧方案、设备的确定、切削用量确定,典型工序刀具的选择(两道)) 每题4人,2人一组,每组负责小批量或大批量的工艺方案分析,不能重复。 4.1零件分析 1.零件的作用 拨叉是变速箱的换档机构中的一个主要零件。它拨动滑移齿轮,改变其在齿轮轴上的位置,可以上下移动或左右移动,从而实现不同的速度切换。φ24孔套在变速叉轴上,M8螺纹孔用于变速叉轴螺钉联结,拨叉脚则夹在双联变换齿轮的槽中。变速操纵机构通过拨叉头部的操纵槽带动拨叉与轴一起在变速箱中滑移,拨叉脚拨动双联变换齿轮在花键轴上滑动,从而实现变速。 2.零件材料 零件材料为45钢,为优质碳素结构用钢,硬度不高易切削加工。这种钢的机械性能很好,但是这是一种中碳钢,淬火性能并不好,45号钢可以淬硬至HRC42~46。常将45钢表面渗碳淬火。 3.零件的工艺分析 图4-1零件图 由零件图4-1可知,其材料为45钢。具有较高的强度和较好的切削加工性。属典型的叉杆类零件。为实现换档、变速的功能,其叉轴孔与变速叉轴有配合要求,因此加工精度要

求较高。叉脚两端面在工作中需承受冲击载荷,为增强其耐磨性,该表面要求高频淬火处理,硬度为HRC 不小于50;为保证拨叉换档时叉脚受力均匀,要求叉脚两端面对叉轴孔φ24的垂直度要求为0.05mm ,平面度为0.08mm 。拨叉采用M8紧固螺钉定位。 拨叉头两端面和叉脚两端面均要求切削加工,并在轴向方向上均高于相邻表面,这样既减少了加工面积,又提高了换档时叉脚端面的接触刚度;φ24孔和M8螺纹孔的端面均为平面,钻孔工艺性较好;另外,该零件除主要工作表面(拨叉脚两端面、变速φ24叉轴孔,其余表面加工精度均较低,不需要高精度机床加工,通过铣削、钻床、攻螺纹的粗加工就可以达到加工要求;而主要工作表面虽然加工精度相对较高,但也可以在正常的生产条件下,采用较经济的方法保质保量地加工出来。由此可见,该零件的工艺性较好。主要工作表面为拨叉脚两端面和φ24叉轴孔。由于拨叉在工作时承受一定的力,因此要有足够的强度、刚度和韧性。 4.主要加工表面 看零件图上粗糙度符号,有机加工要求的都要加工。 (1)+0.021 0 247() H φ,表面粗糙度Ra1.6。 (2)M8-6H 螺纹加工,需要钻孔、攻螺纹。 (4)拨叉头两端加工,保尺寸40,表面粗糙度Ra3.2。 (5)拨叉脚两端面,保证尺寸12,表面粗糙度Ra3.2。 (6)拨叉角内表面R25加工。 5.确定零件的生产类型 依设计题目知:产品的年产量为4000台/年,每台产品中该零件数量为1件/台;结合生产实际,备品率和废品率分别取2%和0.5%,零件年产量为: N=4000台/年×1件/台×(1+2%)×(1+0.5%)=4100.4件/年 生产类型为大量生产。 4.2确定毛坯、绘制毛坯简图 1.选择毛坯 拨叉在工作过程中要承受冲击载荷,为增强拨叉的强度和冲击韧度,获得纤维组织,毛坯选用锻件。该拨叉的轮廓尺寸不大,且生产类型属大批生产,为提高生产率和锻件精度,宜采用模锻方法制造毛坯。毛坯的拔模斜度为5°。 2.确定毛坯的尺寸公差和机械加工余量 (1)公差等级由拨叉的功用和技术要求,确定该零件的公差等级为普通级。 (2)锻件重量 按设计图纸,拨叉的质量m ≈0.33kg 。可初步估计机械加工前锻件毛坯的重量为0.44kg (密度取7.8×10-6kg/mm 3)。 (3)锻件形状复杂系数对拨叉零件图进行分析计算,可大致确定锻件外廓包容体的长度、宽度和高度,即l=95,b=65,h=45;该拨叉锻件的形状复杂系数为: /0.44/()t N S m m lbh ρ===0.44kg/(95×65×45×7.8×10-6kg/mm 3)≈0.44/2.17≈ 0.203 由于0.203介于0.16和0.32之间,故该拨叉的形状复杂系数属S3级。 (4)锻件材质系数由于该拨叉材料为45钢,是碳的质量分数小于0.65%的碳素钢,故该锻件的材质系数属M1级。 (5)锻件分模线形状根据该拨叉件的形位特点,选择零件高度方向通过螺纹孔轴心的

网络大作业

北京联合大学信息学院 《网络集成与维护》 大作业 组长:吴迪 组员:胡方晨孙威龙刘禹 班级:计算机1101B 专业:计算机科学与技术

目录 0.摘要 (1) 1.校园网设计思路 (2) 2.设备选型 (2) 3.信息点 (4) 4.校园网visio 图................................... (4) 5.校园网拓扑图 (5) 6.命令配置及结果 (6) 7.小组分工 (11) 8.总结 (11)

摘要 随着互联网技术的蓬勃发展,设计一个切实可行并具有很好的安全性的校园网络是一件富有挑战性的工作。校园网属于局域网,它是局域网技术的综合应用,本校园网在组建过程中,选择以太网(Ethernet)作为主干技术,以太网是当今网络的主流技术,应用非常广泛。在校园网拓扑结构设计上选用树状结构,它是星状结构的拓展,具有灵活的可扩展性、较高的可靠性、且安装方便、易管理、投资小。各楼宇之间的传输介质选用多模光纤,楼宇内部选用五类UTP或六类UTP,大大提高内部网络的实用性。布线技术采用结构化布线系统,有良好的可扩展性、很高的灵活性和可靠性。楼宇内部交换机采用了三层交换技术,可提高网络的运行速度和效率。 本文面地介绍了校园网设计与实现的组网背景及目的意义,重点描述了在组网过程中对局域网技术的一些应用,包括网络拓扑结构的设计、技术选型、设备选购等方面,结合学校的实际情况及组网需求,对校园网的设计与实现中的各个环节进行综合阐述和详细分析。 关键字:校园网,ipv4 ipv6 ,DNS服务器,WEB服务器

1.校园网设计思路: 校园网必须具备教学、管理和通讯三大功能。教师可以方便地浏览和查询网上资源,进行教学和科研工作;学生可以方便地浏览和查询网上资源实现远程学习;通过网上学习学会信息处理能力。学校的管理人员可方便地对教务、行政事务、学生学籍、财务、资产等进行综合管理,同时可以实现各级管理层之间的信息数据交换,实现网上信息采集和处理的自动化,实现信息和设备资源的共享,因此,校园网的建设必须有明确的建设目标。校园网的总体设计原则是:开放性采用开放性的网络体系,以方便网络的升级、扩展和互联;同时在选择服务器、网络产品时,强调产品支持的网络协议的国际标准化; 可扩充性从主干网络设备的选型及其模块、插槽个数、管理软件和网络整体结构,以及技术的开放性和对相关协议的支持等方面,来保证网络系统的可扩充性; 可管理性利用图形化的管理界面和简洁的操作方式,合理地网络规划策略,提供强大的网络管理功能;使日常的维护和操作变得直观,便捷和高效; 安全性内部网络之间、内部网络与外部公共网之间的互联,利用VLAN/ELAN、防火墙等对访问进行控制,确保网络的安全; 投资保护选用性能价格比高的网络设备和服务器;采用的网络架构和设备充分考虑到易升级换代,并且在升级时可以最大限度地保护原有的硬件设备和软件投资; 易用性应用软件系统必须强调易用性,用户界友好,带有帮助和查询功能,用户可以通过Web查询。 校园网的组网技术一般有以下选择: 主干网技术的选择 主要选择千兆(适合于高校)或百兆以太网技术来构建校园网络,对两层结点和桌面微机的接入也采用快速以太网,建立一个基于多层、全交换的虚拟园区网。 校园网在设计上应具备以下特性才能够满足需求,并保证建成后的网络在一个较长的时间内具有较强的可用性和一定的先进性。 1、高性能与技术先进性 校园网网络系统要求具有较高的数据通信能力和较大的带宽;并在主干网上提供较强的可扩展性。为了及时、迅速地处理网络上传送的数据,网络应有较高的网络主干速度。 2、高可靠性 网络要求具有高可靠性,高稳定性和足够的冗余,提供拓扑结构及设备的冗余和备份,为了防止局部故障引起整个网络系统的瘫痪,要避免网络出现单点失效。在网络骨干上要提供备份链路,提供冗余路由。在网络设备上要提供冗余配置,设备在发生故障时能以热插拔的方式在最短时间内进行恢复,把故障对网络系统的影响减少到最小,避免由于网络故障造成用户损失; 3、安全性 校园网作为一个支持众多用户、同时和INTERNET/CERNET存在连接的网络,网络安全性在整个网络中是个很重要的问题,应该采用一定手段控制网络的安全性,以保证网络正常运行。网络中应采取多种技术从内部和外部同时控制用户对网络资源的访问。网络系统还应具备高度的数据安全性和保密性,能够防止非法

oracle数据库大作业

o r a c l e数据库大作业-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

2013-2014学年第二学期《大型数据库技术》考查报告课题名称:图书管理系统系统 班级: 11软工java2班 学号: 1115115020 姓名:戴春奇 成绩: 2014年5月

1.课题概述 1.1 课题简介 随着社会的发展,人们对知识的需求也不断的增长。在这种形式下,人们就要不断的学习,不断的给自己充电,而图书馆就自然而然地在人们的生活中占据了一定的位置,如何科学的管理图书馆不但关系到读者求知的方便程度,也关系到图书馆的发展。图书馆作为一种信息资源的集散地,用户借阅资料繁多,包含很多的信息数据的管理。现今,有很多的图书馆都是初步开始使用,有些甚至尚未使用计算机进行信息管理。数据信息处理工作量大,容易出错;用于数据繁多,容易丢失,且不易查找。总的来说,缺乏系统,规范的信息管理手段。尽管有的图书馆有计算机,但是尚未用于信息管理,没有发挥它的效力,资源闲置比较突出。因此一个好的图书馆管理系统是非常重要的。 1.2 功能描述 1.登录模块 用户登录:用户在登陆页面登陆,登陆成功进入系统。 管理员登陆:用于管理员的登陆,在后台登陆页面登陆,登陆成功进入系统。图书管理员与系统管理员后台功能不一样。查询用户表,对应用户名、密码正确则进入系统,对应用户名密码不正确则显示相应信息。 2.图书管理模块 对图书进行添加、查询、修改、删除、添加。

将输入的图书信息添加到数据库图书表中,以方便进行各种查询及更新操作,根据输入的图书名称或作者,查询数据库图书表中的相应记录并显示相应图书信息,更新数据库图书表中对应记录并显示更新后的图书信息,删除数据库图书表中对应记录。 3.副本信息模块 对图书的副本信息进行添加、修改、删除。将输入的图书副本信息添加到副本表中,并分配一个独立的中南码根据输入的中南码或国际标准图书编码,查询图书副本表,显示副本信息更新副本表的数据库、删除副本表中所对应的图书信息、修改副本表中错误的信息并更新数据库。 4.用户管理模块 对用户进行添加、删除、修改。将输入的用户信息添加到用户表中,并分配一个独立的用户号根据输入的用户名的姓名或生日,查询用户表,显示用户信息更新用户表的数据库、删除用户表中所对应的、修改用户中错误的信息并更新数据库。 2、数据库设计及实现 2.1 数据库表清单 1、图书信息-----Books表

大数据作业

●作业2:大数据的4V特征是什么? 大量化(Volume):企业面临着数据量的大规模增长。例如,IDC最近的报告预测称,到2020年,全球数据量将扩大50倍。目前,大数据的规模尚是一个不断变化的指标,单一数据集的规模范围从几十TB到数PB不等。简而言之,存储1PB数据将需要两万台配备50GB硬盘的个人电脑。此外,各种意想不到的来源都能产生数据。 多样化(Variety):一个普遍观点认为,人们使用互联网搜索是形成数据多样性的主要原因,这一看法部分正确。然而,数据多样性的增加主要是由于新型多结构数据,以及包括网络日志、社交媒体、互联网搜索、手机通话记录及传感器网络等数据类型造成。其中,部分传感器安装在火车、汽车和飞机上,每个传感器都增加了数据的多样性。 快速化(Velocity):高速描述的是数据被创建和移动的速度。在高速网络时代,通过基于实现软件性能优化的高速电脑处理器和服务器,创建实时数据流已成为流行趋势。企业不仅需要了解如何快速创建数据,还必须知道如何快速处理、分析并返回给用户,以满足他们的实时需求。根据IMS Research关于数据创建速度的调查,据预测,到2020年全球将拥有220亿部互联网连接设备。 价值化(Value):大量的不相关信息,浪里淘沙却又弥足珍贵。对未来趋势与模式的可预测分析,深度复杂分析(机器学习、人工智能Vs传统商务智能(咨询、报告等)。 ●作业3:大数据、机器学习和数据挖掘的区别与联系? 大数据就是许多数据的聚合; 数据挖掘就是把这些数据的价值发掘出来,比如说你有过去10年的气象数据,通过数据挖掘,你几乎可以预测明天的天气是怎么样的,有较大概率是正确的; 机器学习嘛说到底它是人工智能的核心啦,你要对大数据进行发掘,靠你人工肯定是做不来的,那就得靠机器,你通过一个模型,让计算机按照你的模型去执行,那就是机器学习啦。 相似点在于:都是数据分析的工具,三个领域内都有办法用来分析同一数据,基本原理都很浅显。 ●提问:什么是Map,什么是reduce Map(映射): 把洋葱、番茄、辣椒和大蒜切碎,是各自作用在这些物体上的一个Map操作。所以你给Map一个洋葱,Map就会把洋葱切碎。同样的,你把辣椒,大蒜和番茄一一地拿给Map,你也会得到各种碎块。所以,当你在切像洋葱这样的蔬菜时,你执行就是一个Map操作。Map操作适用于每一种蔬菜,它会相应地生产出一种或多种碎块,在我们的例子中生产的是蔬菜块。在Map操作中可能会出现有个洋葱坏掉了的情况,你只要把坏洋葱丢了就行了。所以,如果出现坏洋葱了,Map操作就会过滤掉坏洋葱而不会生产出任何的坏洋葱块。 Reduce(化简):在这一阶段,你将各种蔬菜碎都放入研磨机里进行研磨,你就可以得到一瓶辣椒酱了。这意味要制成一瓶辣椒酱,你得研磨所有的原料。因此,研磨机通常将map操作的蔬菜碎聚集在了一起。

机械设计课程大作业(螺旋千斤顶说明书)

机械设计课程作业设计说明书 题目:螺旋传动设计 班级: 学号: 姓名:

目录 1、设计题目 (2) 2、螺纹、螺杆、螺母设计 (2) 3、耐磨性计算 (2) 4、自锁性校核 (3) 5、螺杆强度校核 (3) 6、螺母螺纹牙强度校核 (3) 7、螺杆的稳定性校核 (4) 8、螺母外径及凸缘设计 (5) 9、手柄设计 (5) 10、底座设计 (6) 11、其余各部分尺寸及参数(符号见参考书) (6) 12、螺旋千斤顶的效率 (6) 13、参考资料 (7)

1、设计题目 螺旋千斤顶 已知条件:起重量Q=37.5KN ,最大起重高 度H=200mm ,手柄操作力P=200N 。 2、螺纹、螺杆、螺母设计 本千斤顶设计采用单头左旋梯形螺纹传动, 单头螺纹相比多头螺纹具有较好的自锁性能, 且便于加工,左旋符合操作习惯。由于螺杆承 受载荷较大,而且是小截面,故选用45号钢, 调质处理。查参考文献得σs=355MPa, σb =600MPa ,S=4, [P ]=20MPa 。剖分式螺母不适用于此,所以 选用整体式螺母。 由于千斤顶属于低速重载的情况,且螺母 与螺杆之间存在滑动磨损,故螺母采用强度高、耐磨、摩擦系数小的铸铝青铜ZCuAl10Fe3。查参考文献得[τ]=35MPa ,b δ[]=50MPa 。 托杯和底座均采用HT250材料。 3、耐磨性计算 查参考文献得[p]=18~25MPa ,取[p]=20MPa 。按耐磨性条件选择螺纹中径,选用梯形螺纹。由参考文献查得5.2~2.1=ψ,取 ψ=2.0。 由耐磨性条件公式: 2d ≥ 式中2d ——螺杆中径,mm; Q ——螺旋的轴向力,37.5KN ; ψ——引入系数,ψ=2.0 ; [p]——材料的许用压力,20MPa; 代入数值后有224.5d mm ≥。查参考文献,优先选用第一系列,取公称直径d=28mm ,螺距P =8mm ,中径d2=25.5mm ,小径d1=22.5mm ,内螺纹大径D4=28.5mm 。

网络技术基础大作业

网络技术基础大作业 大作业内容如下: 一、单选题(每小题2分,计40分) 1、OSI参考模型是由( D )组织提出的。 A、IEEE B、ANSI C、EIA/TIA D、ISO 2、拓扑结构是( B )的具有点到点配置的特点。 A、总线 B、星型 C、环型 D、都不对 3、IEEE802是( A )体系结构标准。 A、LAN B、MAN C、WAN D、以上都不是 4、基带传输系统是使用( B )进行传输的。 A、模拟信号 B、数字信号 C、多路模拟信号 D、模拟和数字信号 5、调幅(AM)和调频(FM)以及调相(PM)是( D )调制的例子。 A、模拟—数字 B、数字—模拟 C、数字—数字 D、模拟—模拟 6、异步传输中,字节间的时间间隙是( B )。 A、固定不变 B、可变的 C、0 D、数据速率的函数 7、对于( A ),警戒频带多占用了带宽。 A、FDM B、TDM C、STDM D、以上都是 8、在数据链路层是通过( B )找到本地网络上主机的。 A、端口号 B、MAC地址 C、默认网关 D、逻辑网络地址 9、在基于广播的以太网中,所有的工作站都可以接收到发送到网上的( C )。 A、电信号 B、比特流 C、广播帧 D、数据包 10、下面关于CSMA/CD叙述正确的是( D )。 A、信号都是以点到点方式发送的 B、一个节点的数据发往最近的路由器,路由器将数据直接发到目的地 C、如果源节点知道目的地的IP和MAC地址的话,信号便直接送往目的地 D、任何一个节点的通信数据要通过整个网络,且每个节点都接收并验证该数据 11、关于共享式以太网,下列不正确的说法是( D )。 A、需要进行冲突检测 B、仅能实现半双工流量控制 C、利用CSMA/CD介质访问机制 D、可以缩小冲突域 12、数据分段是在OSI/RM中的( C )完成的。 A、物理层 B、网络层 C、传输层 D、应用层 13、OSI/RM的( C )关心路由寻址和数据包转发。 A、物理层 B、数据链路层 C、网络层 D、传输层 14、路由器并不具备( B )功能。

数据库大作业期末

测绘专业数据库原理及应用期末大作业 一、要求 1、需求分析。 2、数据库设计。 2.1 概念结构设计(由需求得到E-R模型) 2.2 逻辑结构设计(把E-R模型转换为关系模型) 2.3 物理结构设计(根据关系模型设计表的结构和字段属性及约束) 3、数据库实现。(定义表格和索引等,录入数据,每个表格不少于8条数据) 4、数据库运行。(数据库操作的相关SQL语句,包括查询、增加、删除、修改等,不少 于20条,其中查询需覆盖简单、连接及嵌套三种语句) 5、18周之前交 二、可选题目 1.机票预定信息系统 系统功能基本要求: 能够实现多种关联查询 航班基本信息的录入:航班的编号、飞机名称、机舱等级等; 机票信息:票价、折扣、当前预售状态及经受业务员等; 客户基本信息:姓名、联系方式、证件及号码、付款情况等; 按照一定条件查询、统计符合条件的航班、机票等; 2.学校人事信息管理系统 系统功能基本要求: 能够实现多种关联查询 教职工基本信息:编号、姓名、性别、学历、所属部门、毕业院校、健康情况、职称、职务、奖惩等; 教师教学信息的录入:教师编号、姓名、课程编号、课程名称、课程时数、学分、课程性质等; 科研信息的录入:教师编号、研究方向、课题研究情况、专利、论文及著作发表情况等。 3.论坛管理信息系统 系统功能基本要求: 能够实现多种关联查询 作者信息:昵称、性别、年龄、职业、爱好等; 帖子信息:帖子编号、发帖日期、时间、等级等; 回复信息:回复作者昵称、回复时间等。 4.药品存销信息管理系统 系统功能基本要求:

能够实现多种关联查询 药品信息:药品编号、药品名称、生产厂家、生产日期、保质期、用途、价格、数 量、经手人等; 员工信息:包括员工编号、姓名、性别、年龄、学历、职务等; 客户信息:客户编号、姓名、联系方式、购买时间、购买药品编号、名称、数量等; 入库和出库信息:库存信息、药品存放位置、入库量、出库量。 5.职工考勤管理信息系统 系统功能基本要求: 能够实现多种关联查询 职工信息:包括职工编号、职工信息、性别、年龄、职称等; 出勤记录信息:上班打卡时间、下班打卡时间、缺勤记录等; 出差信息:出差起始时间、结束时间、统计总共天数等; 请假信息:请假开始时间,结束时间,统计请假天数等; 加班信息:加班开始时间、结束时间、统计加班时间等; 6.自选题目。具有一定实际意义,基本表不少于3个,能够实现多种关联查询。 报告格式模板见附录

大数据作业

互联网时代的大数据技术课后习题 1、新摩尔定律的含义是什么? 是由杰弗里·摩尔(Geoffrey Moore)创立的关于技术产品生命周期的定律,被称为新摩尔定律。在技术产品的采用生命周期里,不同类型的接纳者所占大致比例为:创新者(Innovator):2.5%、早期采用者(Early Adopters): 13.5%、早期大众(Early Majority):34%、晚期大众(Late Majority):34%、 落伍者(Laggards):16% ;关键是,技术在从早期采用者到到早期大众接纳过程有一个鸿沟,大部分技术产品无法跨越这个鸿沟就死掉了。 2、大数据现象是怎么形成的? 数据变身大数据,大数据这一概念的形成,有三大标志性事件 2008年9月,美国《自然》杂志专刊第一次提出大数据概念。 2011年2月1日,《科学》(Science) 杂志专刊一-Dealing with data, 通过社会调查的方式,第一-次综合分析了大数据对人们生活造成的影响,详细描述了人类面临的"数据困境" 2011年5月,麦肯锡研究院发布报告一Big data: The next frontier for innovation, competition, and productivity,第一次给大数据做出相对清晰的定义:“大数据是指其大小超出了常规数据库I具获取、储存、管理和分析能力的数据集。 3、大数据有哪些特征? 大数据有三大特征 第一个特征是数据类型繁多。包括网络日志、音频、视频、图片、地理位置信息等等多类型的数据对数据的处理能力提出了更高的要求。 第二个特征是数据价值密度相对较低。如随着物联网的广泛应用,信息感知无处不在,信息海量,但价值密度较低,如何通过强大的机器算法更迅速地完成数据的价值“提纯”,是大数据时代亟待解决的难题。 第三个特征是处理速度快、时效性要求高。这是大数据区分于传统数据挖掘最显著的特征。 4、如何对大数据的来源进行分类? 从大数据的来源来看 按数据来源行业划分 主要分为以下几个大类:国家数据库、企业数据、机器设备数据、个人数据。 国家数据库 包含公开的和保密的两个方面。 公开的如GDP、CPI、固定资产投资等宏观经济数据,包括历年统计年鉴或人口普查的数据,以及地理信息数据、金融数据、房地产数据、医疗统计数据等等。 保密的数据有军事数据、航空航天、卫星监测、刑事档案等等不可公开的大量数据。 企业数据 如公司百度、阿里巴巴、腾讯、新浪微博、亚马逊、facebook等公司的用户消费行为数据及社交行为数据。旅游公司的酒店、交通、门票等订单数

上海交大18春网络技术基础大作业

大作业内容如下: 一、单选题(每小题2分,计40分) 1、OSI参考模型是由( D )组织提出的。 A、IEEE B、ANSI C、EIA/TIA D、ISO 2、拓扑结构是( A )的具有点到点配置的特点。 A、总线 B、星型 C、环型 D、都不对 3、IEEE802是( A )体系结构标准。 A、LAN B、MAN C、WAN D、以上都不是 4、基带传输系统是使用( A )进行传输的。 A、模拟信号 B、数字信号 C、多路模拟信号 D、模拟和数字信号 5、调幅(AM)和调频(FM)以及调相(PM)是( D )调制的例子。 A、模拟—数字 B、数字—模拟 C、数字—数字 D、模拟—模拟 6、异步传输中,字节间的时间间隙是( B )。 A、固定不变 B、可变的 C、0 D、数据速率的函数 7、对于( A ),警戒频带多占用了带宽。 A、FDM B、TDM C、STDM D、以上都是 8、在数据链路层是通过( B )找到本地网络上主机的。 A、端口号 B、MAC地址 C、默认网关 D、逻辑网络地址 9、在基于广播的以太网中,所有的工作站都可以接收到发送到网上的( C )。 A、电信号 B、比特流 C、广播帧 D、数据包 10、下面关于CSMA/CD叙述正确的是( D )。 A、信号都是以点到点方式发送的 B、一个节点的数据发往最近的路由器,路由器将数据直接发到目的地 C、如果源节点知道目的地的IP和MAC地址的话,信号便直接送往目的地 D、任何一个节点的通信数据要通过整个网络,且每个节点都接收并验证该数据 11、关于共享式以太网,下列不正确的说法是( B )。 A、需要进行冲突检测 B、仅能实现半双工流量控制 C、利用CSMA/CD介质访问机制 D、可以缩小冲突域 12、数据分段是在OSI/RM中的( C )完成的。 A、物理层 B、网络层 C、传输层 D、应用层 13、OSI/RM的( B )关心路由寻址和数据包转发。 A、物理层 B、数据链路层 C、网络层 D、传输层

相关主题
文本预览
相关文档 最新文档