当前位置:文档之家› 飞思卡尔单片机各种功能程序

飞思卡尔单片机各种功能程序

飞思卡尔单片机各种功能程序
飞思卡尔单片机各种功能程序

流水灯四种效果:

#include /* common defines and macros */ #include

#include /* derivative information */ #pragma LINK_INFO DERIV ATIVE "mc9s12xdp512"

#include "main_asm.h" /* interface to the assembly module */ unsigned char temp;

//unsigned char pa @0x200;

//unsigned char pb @0x202;

unsigned char key;

static void delay(void) {

volatile unsigned long i;

for(i=0;i<100000;i++);

}

static unsigned char random;

static void Random(void) {

random = (unsigned char)rand();

}

void effect1() {

unsigned char c;

for(c=0;c<=6;c++) {

delay();

PORTB = ~(1<

}

for(c=7;c>=1;c--) {

delay();

PORTB = ~(1<

}

}

void effect2() {

unsigned char c;

for(c=0;c<=6;c++) {

delay();

PORTB = ~(3<

}

for(c=7;c>=1;c--) {

delay();

PORTB = ~(3<

}

}

void effect3() {

unsigned char c,t=0xfe;

for(c=0;c<=7;c++) {

PORTB = t;

delay();

t<<=1;

}

}

void effect4() {

unsigned char c,t=0;

for(c=0;c<=7;c++) {

PORTB=t;

delay();

t = (t<<1)+1;;

}

}

void main(void) {

unsigned char x;

DDRA=0xf0;

DDRB=0xff;

for(;;) {

x=PORTA&0x03;

switch(x) {

case 0:effect1(); break;

case 1:effect2(); break;

case 2:effect3(); break;

case 3:effect4(); break;

}

}/* wait forever */

/* please make sure that you never leave this function */ }

//行列反转法

unsigned char key_scan() //键盘扫描函数

{ unsigned char x,row=4,col=4,key=16;

PUCR|=0x01; //等同于PUCR=PUCR|0x01,PUCR寄存器的第0位设置为1,即允许PORTA端口的上拉电阻。PUCR寄存器的解释参见书本P113

DDRA=0x0f; //行线PA0-PA3设置为输出,列线PA4-PA7设置为输入DDRA寄存器知识参见书本P113数据方向寄存器

PORTA=0xf0; //0bxxxx0000,四条行线PA0-PA3输出四个0,相当于四条行线接地

x=PORTA&0xf0; //读取四条列线的值,并保留高4位,清除低4位的值

if(x!=0xf0) //如果四条列线不全为1,则说明有按键按下

{

switch(x)

{

case 0xe0:col=1;break; //按键在第1列

case 0xd0:col=2;break; //按键在第2列

case 0xb0:col=3;break; //按键在第3列

case 0x70:col=4;break; //按键在第4列

}

//以下开始行列反转,输入变输出,输出变输入。即行线PA0-PA3设为输入,列线PA4-PA7设为输出

DDRB=0xf0; //行线PA0-PA3设为输入,列线PA4-PA7设为输出

PORTA=0x0f;//0b0000xxxx,四条列线PA4-PA7输出四个0,相当于四条列线接地

x=PORTA&0x0f //读取四条行线的值,并保留低4位,清除高4位的值

if(x!=0x0f)//如果四条行线不全为1,则说明有按键按下

{ switch(x)

{

case 0x0e:row=1;break; //按键在第1行

case 0x0d:row=2;break; //按键在第2行

case 0x0b:row=3;break; //按键在第3行

case 0x07:row=4;break; //按键在第4行

}

key=(row-1)*4+col-1; //求出键号:0-15的整数

}

}

return key; //如果没有按键按下,则函数返回的key=16;

}

main()

{ unsigned char keyno;

while(1)

{

keyno=key_scan(); //调用键盘扫描函数获取是否有按键按下,是哪个按键按下

if(keyno<16) //keyno<16有按键按下,keyno=16则表明无按键按下

switch(keyno)

{ case 0: //每一个按键按下后要实现什么功能,程序写在这。

case 1:

case 2:

case 3:

case 4:

case 5:

case 6:

case 7:

case 8:

case 9:

case 10:

case 11:

case 12:

case 13:

case 14:

case 15:

}

}

}

//行扫描法1

unsigned char key_scan()

{ unsigned char x,i,temp,row=4,col=4,key=16;

//PUCR|=0x01; //等同于PUCR=PUCR|0x01,PUCR寄存器的第0位设置为1,即允许PORTA端口的上拉电阻。PUCR寄存器的解释参见书本P113

DDRA=0x0f; //行线PA0-PA3设置为输出,列线PA4-PA7设置为输入DDRA寄存器知识参见书本P113数据方向寄存器

PORTA=0xf0; //0bxxxx0000,四条行线PA0-PA3输出四个0,相当于四条行线接地

x=PORTA&0xf0; //读取四条列线的值,并保留高4位,清除低4位的值

if(x!=0xf0) //如果四条列线不全为1,则说明有按键按下

{

switch(x)

{

case 0xe0:col=1;break; //按键在第1列

case 0xd0:col=2;break; //按键在第2列

case 0xb0:col=3;break; //按键在第3列

case 0x70:col=4;break; //按键在第4列

}

//以下开始从第1行到第4行逐行判断按键是否在该行

temp=0b11111110;

for(i=1;i<=4;i++) //i代表正在判断按下的按键是否是在第i行

{

PORTA=temp; //第i行所在的行线输出0,其他三条行线输出1

x=PORTA&0xf0;//读取四条列线的值,并保留高4位,清除低4位的值

if(x!=0xf0) //如果四条列线不全为1,则说明按键就在第i行,否则表明按键不在该行,则准备扫描下一行

{ row=i;

key=(row-1)*4+col-1; //求出按键

return key; //退出key_scan函数

}

temp=(temp<<1) +1; //按键不在该行,则改变temp的值,为扫描下一行做准备。

}

}

return key; //如果没有按键按下,程序才回执行到这,此时key为初值16;

}

main()

{ unsigned char keyno;

while(1)

{

keyno=key_scan(); //调用键盘扫描函数获取是否有按键按下,是哪个按键按下

if(keyno<16) //keyno<16有按键按下,keyno=16则表明无按键按下

switch(keyno)

{ case 0: //每一个按键按下后要实现什么功能,程序写在这。

case 1:

case 2:

case 3:

case 4:

case 5:

case 6:

case 7:

case 8:

case 9:

case 10:

case 11:

case 12:

case 13:

case 14:

case 15:

}

}

}

PWM模块:

void PWM_Init(void)

{

PWME = 0x00; //禁止PWM模块

PWMPRCLK = 0x06; //CLOCKA的预分频设置为6,分频系数64 PWMSCLA = 125; //SA的分频因子设置为125,分频系数250

PWMCLK = 0x01; //通道0选择SA作为PWM时钟

PWMPER0 = 200; //通道0周期寄存器设置为200

PWMDTY0 = 100; //通道0占空比寄存器设置

PWMPOL = 0x01; //PWM输出先为高电平,之后变为低电平

PWMCAE = 0x00; //左对齐输出

PWMCTL = 0x00; //不级联

PWME = 0x01; //使能PWM通道0

}

void main(void){

byte LedCnt=0;

setbusclock();

PWM_Init();

for(;;)

{

}

}

void interrupt 0 _Startup(void) {

INIT_SP_FROM_STARTUP_DESC(); //初始化堆栈指针SP

main();

}

输入捕捉(求占空比):

#include /* common defines and macros */

#include "derivative.h" /* derivative-specific definitions */ #include

#include

unsigned int x,y;

unsigned long int n,Th,Tl;

unsigned char t,a;

void TIM_Init()

{

TSCR1=0x00;

TSCR2=0x84;

TIOS_IOS0=0;

TCTL4=0x01;

TIE_C0I=0x01;

TSCR1|=0x80; //启动定时器

}

#pragma CODE_SEG_NEAR_SEG NONBANKED

void interrupt 8 TIM_Tie()

{

y=x;

x=TC0;

if(TCTL4==0x02)

Th=(x-y+(n<<16))*t;

if(TCTL4==0x01)

Tl=(x-y+(n<<16))*t;

TFLG1|=0x01; //写1清零

n=0;

a=TCTL4;

a=~a&0x03;

TCTL4=a;

}

#pragma CODE_SEG_NEAR_SEG NONBANKED

void interrupt 16 TIM_Tof()

{

n++;

TFLG2|=0x80; //写1清零

}

void main(void) {

TIM_Init();

for(;;) {

// _FEED_COP(); /* feeds the dog */

} /* loop forever */

/* please make sure that you never leave main */

}

电子时钟(包含串口通信和定时器):

//知识点1: 串口初始化、串口数据收发及数据处理

// 2: 定时器如何实现指定的定时时间

#include

#include

#include "IO_Map.h"

//#include "derivative.h"

// global variables definitions

static int waittime = 5;

static unsigned char redButtonDown = FALSE, blueButtonDown = FALSE;

static long absoluteTime = 0;

unsigned int count=0;

unsigned char time[3]={0,0,0};

unsigned char cmd_buf[8];

unsigned char pos=0;

static const char segs[]={~0x3f,~0x06,~0x5b,~0x4f,~0x66,~0x6d,~0x7d,~0x07,~0x7f,~0x6f}; //static const char //segss[]={~0xbf,~0x86,~0xdb,~0xcf,~0xe6,~0xed,~0xfd,~0x87,~0xff,~0xef}; //#pragma CODE_SEG DEFAULT

static void WriteToSCI0(const char *text){

while (*text != '\0'){

while (!(SCI0SR1 & 0x80)); // wait for output buffer empty

SCI0DRL = *text++;

}

}

void execute_cmd()

{ unsigned char tmp[3];

if ((cmd_buf[0]=='t')&&(pos==7))

{

tmp[0]=(cmd_buf[1]-'0')*10+cmd_buf[2]-'0';

tmp[1]=(cmd_buf[3]-'0')*10+cmd_buf[4]-'0';

tmp[2]=(cmd_buf[5]-'0')*10+cmd_buf[6]-'0';

if ((tmp[0]<24)&&(tmp[1]<60)&&(tmp[2]<60))

{

time[0]=tmp[0];

time[1]=tmp[1];

time[2]=tmp[2];

}

}

pos=0;

}

//*

#pragma CODE_SEG __NEAR_SEG NON_BANKED

void interrupt 20 SCI0_ISR(void){

unsigned char rc;

rc = SCI0SR1; // dummy read to clear flags

rc = SCI0DRL; // data read

if(rc!=0x0d)

cmd_buf[pos++]=rc;

else

execute_cmd();

SCI0DRL = rc;

}

#pragma CODE_SEG __NEAR_SEG NON_BANKED

void setbusclock(void)

{

CLKSEL=0X00; // disengage PLL to system

PLLCTL_PLLON=1; // turn on PLL

SYNR=0x00 | 0x01; // VCOFRQ[7:6];SYNDIV[5:0]

// fVCO= 2*fOSC*(SYNDIV + 1)/(REFDIV + 1) fVCO= 2*fOSC*2/2=2*fOSC

// fPLL= fVCO/(2 × POSTDIV) fPLL= fVCO;

// fBUS= fPLL/2 fBUS= fPLL/2=fosc;

// VCOCLK Frequency Ranges VCOFRQ[7:6]

// 32MHz <= fVCO <= 48MHz 00

// 48MHz < fVCO <= 80MHz 01

// Reserved 10

// 80MHz < fVCO <= 120MHz 11

REFDV=0x80 | 0x01; // REFFRQ[7:6];REFDIV[5:0]

// fREF=fOSC/(REFDIV + 1)

// REFCLK Frequency Ranges REFFRQ[7:6]

// 1MHz <= fREF <= 2MHz 00

// 2MHz < fREF <= 6MHz 01

// 6MHz < fREF <= 12MHz 10

// fREF > 12MHz 11

// pllclock=2*osc*(1+SYNR)/(1+REFDV)=32MHz;

POSTDIV=0x00; // 4:0, fPLL= fVCO/(2xPOSTDIV)

// If POSTDIV = $00 then fPLL is identical to fVCO (divide by one).

_asm(nop); // BUS CLOCK=16M

_asm(nop);

while(!(CRGFLG_LOCK==1)); //when pll is steady ,then use it;

CLKSEL_PLLSEL =1; //engage PLL to system;

}

void interrupt 26 MDC_ISR(void) //定时器MDC模块中断服务程序,当定时时间到时自动执行该程序

{

MCFLG|=0X80;//MCFLG_MCZF=1;

count++;

if (count%10==0)

{

count=0;

time[2]++;

if(time[2]>=60)

{

time[2]=0;

time[1]++;

if(time[1]>=60)

{

time[1]=0;

time[0]++;

if(time[0]>=24)

time[0]=0;

}

}

}

}

//*/

#pragma CODE_SEG DEFAULT

static void SCI0Init(void) {

SCI0BDL = (unsigned char)((16000000UL /* OSC freq */ / 2) / 9600 /* baud rate */ / 16 /*factor*/);

SCI0CR2 = 0x2C;

}

void MDC_Init() {

unsigned char i;

MCCTL=0b11101111; //MCZI=1,MODMC=1,RDMCL=1,

ICLAT=0,FLMC=1,MCEN=0,MCPR1-0=0b11(16分频) MCCNT=10000; //定时10000us=10ms

//MCCTL_MCEN=1;

}

void main(void) {

unsigned char tmp;

DDRA = 0xFF;

DDRB = 0xFF;

DDRE = 0xFF;

DDRP = 0xFF;

DDRM = 0xFF;

DDRT = 0xFF;

PORTA =0xFF;

PORTB =0xFF;

PORTE =0xFF;

PTP =0xFF;

PTM =0xFF;

PTT =0xFF;

setbusclock();

//startTimeBase();

SCI0Init();

MDC_Init();

WriteToSCI0("\n\n*** Timer Demo ***\n"); WriteToSCI0("\nEnter 't123456' to set time to 12:34:56\n"); INTCR_IRQEN=0; //屏蔽IRQ中断EnableInterrupts; //使能全局中断

for (;;){

if(time[2]!=tmp) //if 秒钟数有更新

{

tmp=time[2];

PORTA = segs[time[0]/10];

PORTB = segs[time[0]%10]&0x7f;

PORTE = segs[time[1]/10];

PTP = segs[time[1]%10]&0x7f;

PTM = segs[time[2]/10];

PTT = segs[time[2]%10];

}

}

}

飞思卡尔单片机LED控制例程详解

我的第一个LED程序 准备工作: 硬件:Freescale MC9S08JM60型单片机一块; 软件:集成开发环境codewarrior IDE; 开发板上有两个LED灯,如下图所示: 实验步骤: 1.首先,确保单片机集成开发环境及USBDM驱动正确安装。其中USBDM的安装步骤如下:?假设之前安装过单片机的集成开发环境6.3版本:CW_MCU_V6_3_SE; ?运行USBDM_4_7_0i_Win,这个程序会在c盘的程序文件夹下增加一个目录C:\Program Files\pgo\USBDM 4.7.0,在这个目录下: 1〉C:\ProgramFiles\pgo\USBDM 4.7.0\FlashImages\JMxx下的文件 USBDM_JMxxCLD_V4.sx是下载器的固件文件; 2〉C:\Program Files\pgo\USBDM 4.7.0\USBDM_Drivers\Drivers下有下载器的usb 驱动 所以在插入usb下载器,电脑提示发现新的usb硬件的时候,选择手动指定驱动 安装位置到以上目录即可。 ?运行USBDM_4_7_0i_Win之后,还会在目录: C:\Program Files\Freescale\CodeWarrior for Microcontrollers V6.3\prog\gdi 下增加一些文件,从修改时间上来看,增加了6个文件,这些文件是为了在codewarrior 集成开发环境下对usb下载器的调试、下载的支持。

2.新建一个工程,工程建立过程如下: ?运行单片机集成开发环境codewarrior IDE ?出现如下界面 ●Create New Project :创建一个新项目工程 ●Load Example Project :加载一个示例工程 ●Load Previous Project :加载以前创建过的工程 ●Run Getting started Tutorial:运行CodeWarrior软件帮助文档 ●Start Using CodeWarrior:立刻使用CodeWarrior ?点击Create New project按钮,以创建一个新的工程,出现选择CPU的界面 如下,请选择HCS08/HCS08JM Family/MC9S08JM60,在右边的Connection窗口

飞思卡尔单片机问题总结

飞思卡尔单片机问题总结 常见问题回答精华列表 为了方便网友查询相关问题,特将常见问题精华帖整理归类 本帖不断更新,欢迎网友们给出建议 另外,在提问时,请在标题中选用具体问题的字眼避免使用请问某某、请教、紧急求助等作为标题。对于具体器件,可以直接把器件类型写上,比如HC08QY4等;对于具体技术,比如CAN/LIN/ZigBee等也直接写明,便于版主分类回答,也便于其他网友查询。 一、flash/EEPROM的操作 Tips: a、HC08系列MCU中,很多Monitor ROM中固化了对flash操作的函数,用户只需调用即可,参考AN2874等应用笔记 b、HCS08系列和HCS12系列MCU对flash的操作十分类似,可以参考 AN2140 1、FLASH操作函数 (HCS08系列)

https://www.doczj.com/doc/858151600.html,/dispbbs.asp?boardID=3&RootID=111907&ID= 111907 2、如何将flash中的程序copy至ram中 https://www.doczj.com/doc/858151600.html,/dispbbs.asp?boardID=3&RootID=104074&ID= 104074 3、S12内部寄存器的映射 https://www.doczj.com/doc/858151600.html,/dispbbs.asp?boardID=3&RootID=103261&ID= 103261 4、S12EEPROM的使用、 INITRG,INITRM,INITEE寄存器的说明https://www.doczj.com/doc/858151600.html,/dispbbs.asp?boardID=3&RootID=102260&ID= 102260 5.INITRM寄存器的使用 https://www.doczj.com/doc/858151600.html,/dispbbs.asp?boardID=3&RootID=103214&ID= 103214 二、编程技巧

飞思卡尔锁相环

备战飞思卡尔智能车大赛.开始模块总结. 锁相环设置. 公式: PLLCLK=2*OSCCLK*(SYNR+1)/(REFDV+1), fbus=PLLCLK/2 void INIT_PLL(void) { CLKSEL &= 0x7f; //选用外部时钟.准备设置锁相环 PLLCTL &= 0x8F; //禁止锁相环 SYNR = 0xc9; //设置SYNR REFDV = 0x81; //设置REFDV PLLCTL |=0x70; //锁相环使能 asm NOP; asm NOP; //两个机器周期缓冲时间 while(!(CRGFLG&0x08)); //等待锁相环锁定 CLKSEL |= 0x80; //设置锁相环为时钟源 } 飞思卡尔XS128的PLL锁相环详细设置说明——关于如何提高总线工作频率PLL锁相环就相当于超频 单片机超频的原因和PC机是个一道理。分频的主要原因是外设需要的工作频率往往远低于CPU/MEMORY 这也和PC机南北桥的原理类似。总线频率设置过程 1、禁止总中断 2、寄存器CLKSEL(时钟选择寄存器)的第七位置0 即CLKSEL_PLLSEL=0。选择时钟源为外部晶振OSCCLK(外接晶振频率) 在PLL(锁相环)程序执行前 内部总线频率为OSCCLK/2 3. PLLCTL_PLLON=1 打开PLL 4.设置SYNR 时钟合成寄存器 、REFDV 时钟分频寄存器 、POSTDIV三个寄存器的参数 5、_asm(nop) _asm(nop);加入两条空指令 使锁相环稳定 6、while(!(CRGFLG_LOCK==1));//时钟校正同步 7、CLKSEL_PLLSEL=1; 下面详细说一下频率的计算一、时钟合成寄存器SYNR寄存器结构 VCOFRQ[1:0]控制压控振动器VCO的增益 默认值为00 VCO的频率与VCOFRQ[1:0]对应表

飞思卡尔单片机寄存器及汇编指令详解

附录I:寄存器地址列表 直接页面寄存器总结

高页面寄存器总结

非易失寄存器总结 注:直接页面寄存器表地址的低字节用粗体显示,直接寻址对其访问时,仅写地址低字节即可。第2列中寄存器名用粗体显示以区别右边的位名。有0的单元格表示未用到的位总是读为0,有破折号的单元格表示未用或者保留,对其读不定。

附录II 指令接与寻址方式 HCS08指令集概括 运算符 () = 括号种表示寄存器或存储器位置的内容 ← = 用……加载(读: “得到”) & = 布尔与 | = 布尔或 ⊕= 布尔异或 ×= 乘 ÷ = 除 : = 串联 + = 加 - = 求反(二进制补码) CPU registers A =>累加器 CCR =>条件代码寄存器 H =>索引寄存器,高8位 X => 索引寄存器,低8位 PC =>程序计数器 PCH =>程序计数器,高8位 PCL =>程序计数器,低8位 SP =>堆栈指针 存储器和寻址 M =>一个存储区位置或者绝对值数据,视寻址模式而定 M:M + 0x0001 => 两个连续存储位置的16位值.高8位位于M的地址,低8位位于更高的连续地址. 条件代码寄存器(CCR)位 V => 二进制补码溢出指示,第7位 H => 半进位,第4位 I => 中断屏蔽,第 3位 N => 求反指示器, 第2位 Z => 置零指示器, 第1位 C => 进/借, 第0位 (进位第 7位 ) CCR工作性符号 – => 位不受影响 0 = > 位强制为0 1 = > 位强制为1

= >根据运算结果设置或清除位 U = > 运算后没有定义 机器编码符号 dd =>一个直接寻址0x0000–0x00FF的低8位(高字节假设为0x00) ee => 16位偏移量的高8位 ff => 16位偏移量的低8位 ii => 立即数的一个字节 jj => 16位立即数值的高位字节 kk => 16位立即数值的低位字节 hh => 16位扩展寻址的高位字节 ll => 16位扩展寻址的低位字节 rr => 相对偏移量 n —任何表达范围在0–7之间的一个有符号数的标号或表达式 opr8i —任何一个表达8位立即值的标号或表达式 opr16 —任何一个表达16位立即值的标号或表达式 opr8a —任何一个表达一个8位值的标号或表达式.指令对待这个8位值为直接页面64K 字节地址空间(0x00xx)中地址的低8位. opr16a —任何一个表达16位值的标号或表达式.指令对待这个值为直接页面64K字节地址空间. oprx8 —任何一个表达8位无符号值的标号或表达式,用于索引寻址. oprx16 —任何一个16位值的标号或表达式.因为HCS08有一个16位地址总线,这可以为一个有符号或者无符号值. rel —任何指引在当前指令目标代码最后一个字节之后–128 to +127个字节之内的标号或表达式.汇编器会计算包括当前指令目标代码在内的8位有符号偏移量. 寻址方式 隐含寻址(Inherent)如CLRA,只有操作码,无操作数,需要操作的数据一般为CPU寄存器,因此不需要再去找操作数了。(INH) 立即寻址 (Immediate)如LDA #$0A,“$”表示16进制,此时操作数位于FLASH空间,与程序一起存放。(IMM) 直接寻址 (Direct)如 LDA $88,只能访问$0000-$00FF的存储器空间,指令短速度快; (DIR) 扩展寻址 (Extended)如果操作数地址超出了$00FF,自动为扩展寻址;(EXT) 相对寻址(Relative)如BRA LOOP,指令中一般给出8位有符号数表示的偏移量。(REL) 变址寻址 (Indexed) 采用[H:X]或SP作为指针的间接寻址方式。( IX )( IX1 )( IX2 ) 变址寻址 (Indexed) 1〉无偏移量:CLR ,X 简写(IX) 2〉无偏移量,指令完成后指针加1(H:X = H:X + 0x0001) ,简写(IX+)只用于指令MOV和CBEQ指令中;

飞思卡尔16位单片机MC9S12XS128加密(程序下载不进去,正负极未短路,通电芯片不发烫)后解锁的方法及步骤w

飞思卡尔16位单片机MC9S12XS128加密(程序下载不进去,正负极未短路,通电芯片不发烫)后解锁的方法及步骤 /*****************************************************************************/ *本人用此法成功解救了4块板子【窃喜!】,此说明是本人边操作边截图拼成的,有些是在别的说明上直接截图【有些图本人不会截取,就利用现成的了,不过那也是本人用豆和财富值换来的】,表达不清之处还望见谅,大家将就着看吧!如能有些许帮助,我心甚慰!!! ————武狂狼2014.4.23 /*****************************************************************************/ 编译软件:CW5.1版本,下载器:飞翔BDMV4.6 【1】,连接好单片机,准备下载程序,单击下载按钮出现以下界面 或 (图1.1) 图 1.1——4中所有弹出窗口均单击“取消”或红色“关闭”按钮依次进入下一界面

(图1.2) (图1.3)

(图1.4) ******************************************************************************* ******************************************************************************* 【2】单击出现如下图所示下拉列表,然后单击 (图2.1) 出现下图(图2.2)对话框,按下面说明操作 (图2.2)

飞思卡尔单片机编程

关于Codewarrior 中的 .prm 文件 网上广泛流传的一篇文章讲述的是8位飞思卡尔单片机的内存映射,这几天,研究了一下Codewarrior 5.0 prm文件,基于16位单片机MC9S12XS128,一点心得,和大家分享。有什么错误请指正。 正文: 关于Codewarrior 中的.prm 文件 要讨论单片机的地址映射,就必须要接触.prm文件,本篇的讨论基于Codewarrior 5.0 编译器,单片机采用MC9S12XS128。 通过项目模板建立的新项目中都有一个名字为“project.prm”的文件,位于Project Settings->Linker Files文件夹下。一个标准的基于XS128的.prm文件起始内容如下: .prm文件范例: NAMES END SEGMENTS RAM = READ_WRITE DATA_NEAR 0x2000 TO 0x3FFF;

READ_ONLY DATA_NEAR IBCC_NEAR 0x4000 TO 0x7FFF; ROM_C000 = READ_ONLY DATA_NEAR IBCC_NEAR 0xC000 TO 0xFEFF; //OSVECTORS = READ_ONLY 0xFF10 TO 0xFFFF; EEPROM_00 = READ_ONLY DATA_FAR IBCC_FAR 0x000800 TO 0x000BFF; EEPROM_01 = READ_ONLY DATA_FAR IBCC_FAR 0x010800 TO 0x010BFF; EEPROM_02 = READ_ONLY DATA_FAR IBCC_FAR 0x020800 TO 0x020BFF; EEPROM_03 = READ_ONLY DATA_FAR IBCC_FAR 0x030800 TO 0x030BFF; EEPROM_04 = READ_ONLY DATA_FAR IBCC_FAR 0x040800 TO 0x040BFF; EEPROM_05 = READ_ONLY DATA_FAR IBCC_FAR 0x050800 TO 0x050BFF; EEPROM_06 = READ_ONLY DATA_FAR IBCC_FAR 0x060800 TO 0x060BFF; EEPROM_07 = READ_ONLY DATA_FAR IBCC_FAR 0x070800 TO 0x070BFF; PAGE_F8 = READ_ONLY DATA_FAR IBCC_FAR 0xF88000 TO 0xF8BFFF;

飞思卡尔单片机知识点

1、单片机组成:1> CPU 2> 存储器3>I/O ; 2、存储器包括2大类:ROM , RAM 3、标准ASCII码使用(1)个字节表示字符; 4、BCD码是用()进制表示的()的数据; 5、HCS08QG8的最小系统包括(电源电路,复位电路,下载口,(内部时钟)); 6、QG8管脚数量(16)、只能输入的是(PTA5)、只能输出的是(PTA4)、程序下载的是、接外部时钟的是; 7、QG8的管脚可以作为数字输入输出、也可以作为模拟输入,可以作为模拟输入的有(); 8、QG8管脚复用优先级最低的功能是(I/O); 9、QG8存储器配置中,不同资源的分界线……; 10、CPU寄存器有(A, HX, PC, CCR, SP); 11、可以执行位操作的地址范围(0X0000~0X005F); 12、有地址的寄存器分成了(3)块(0页,高页,非易失); 13、如何在C语言中定义常数(数据类型变量名;),如何指定变量的地址(数据类型变量名@ 地址;); 14、堆栈的管理者是寄存器(SP); 15、SP的复位缺省值是(0X00FF); 16、堆栈对数据的操作特点是(向上生长型:先压后涨、先减后弹); 17、堆栈一般在RAM的高地址区域还是低地址区域?高地址区 18、内部时钟源包括哪4大部分? 19、外部时钟分哪2大类;振荡器,整形外部时钟 20、内部时钟中FLL固定倍频(512倍频); 21、ICS的7种工作模式(FEI, FEE, FBI, FBILP, FBE, FBELP, stop); 22、ICS的内部参考时钟是可以校准、微调的,调整的寄存器名(ICSTRM);该寄存器的数值越大,输出时钟频率越(低); 23、FLASH是按页管理的,页大小(512)字节,每页分(8)行; 24、高页寄存器位于FLASH的最后一页的(第六行/0xFFB0~0xFFBF)位置; 25、FLASH的最后一页最后一行是(中断向量); 26、FLASH块保护寄存器(FPROT);块加密寄存器(FOPT);对应的非易失寄存器分别是(NVOPT, NVPROT); 27、FLASH操作的一般过程是(); 28、FLASH操作的有效命令有(空检查,字节编程,突发模式编程,页擦除,全部ROM 擦除); 29、记录程序运行状态的CPU寄存器是(CCR); 30、指令系统包括6大类指令,分别是(算术运算指令、数据传送指令、数据和位操作、逻辑运算、程序控制、堆栈处理); 31、寻址方式是指(CPU访问操作数和数据的方法); 32、寻址方式包括7大类16种,分别是: INH IMM DTR EXT IX,IX1,IX2,SP1,SP2,IX+,IX1+ REL IMD, DD,IX+D,DIX+ 33、8指令模板和6指令模板分别是(); 34、QG8是高电平复位还是低电平复位?低电平 35、QG8数据存储器RAM的大小为(512)字节; 36、上电复位期间将管脚(A4)设置为(低)电平可以进入调试模式 37、QG8的存储器结构为冯·诺伊曼还是哈佛结构?冯诺依曼

基于飞思卡尔单片机的智能汽车设计毕业设计(论文)

基于飞思卡尔单片机的智能汽车设计 摘要 本智能车系统设计以 MC9S12DG128B 微控制器为核心,通过一个CMOS 摄像头检测模型车的运动位置和运动方向,使用LM1881视频分离芯片对图像进行处理,用光电传感器检测模型车的速度并使用PID 控制算法调节驱动电机的转速和舵机的方向,完成对模型车运动速度和运动方向的闭环控制。为了提高智能车的行驶速度和可靠性,采用了自制的电路板,在性能和重量上有了更大的优势,对比了各种方案的优缺点。实验结果表明,系统设计方案可行 关键词:MC9S12DG128,CMOS 摄像头,PID

The Research of Small and Medium-sized Electric Machines in Fuan City Author:Yao fang Tutor:Ma shuhua Abstract Fujian Fuan City industry of electric motor and electrical equipment is the one of the most representative phenomenon of industry cluster in Fujian Province mechanical industry. Its output value of small and medium-sized electric machines accounts for 20% of the whole province’s electrical equipment indu stry. The output amount of small and medium-sized electric machines from this region takes up 1/3 of that of the whole nation. Fuan electric motor and electrical equipment industry plays a significant role in the development of local national economy, being considered to be the main growth point of local economy and called "the Chinese electric motor and electrical equipment city ". This paper launched a research on small and medium- sized electric machines in Fuan city from two angles. The first one inferred the situation of Fuan electric machine industrial cluster as well as the analysis of the temporary existed problems, and then propose a few of suggestions on the part of local government. The second part focus on the improvement of the competitiveness of Fuan electric machine enterprises, through the application of Michael Porter's Five Forces Model into the local industry of electric machine, consequently carried out some strategies local enterprises should take. Key Words: small and medium-sized electric machines, Five Forces Model, industrial cluster

飞思卡尔单片机优点

常有人问freescale的单片机有什么优点,今天转篇别人写的文章来,可以部分回答这些朋友的问题,但需要说明的是下面这篇文章主要是针对S08,S12这类单片机说的,飞思卡尔处理器远非只是单片机。飞思卡尔(freescale)半导体公司,就是原来的Motorola公司半导体产品部。于2004年从Motorola分离出来,更名为freescale!freescale系列单片机采用哈佛结构和流水线指令结构,在许多领域内都表现出低成本,高性能的的特点,它的体系结构为产品的开发节省了大量时间。此外freescale提供了多种集成模块和总线接口,可以在不同的系统中更灵活的发挥作用!所有单片机都具有的功能我就不多说了,freescale单片机的特有的特点如下: (1)全系列: 从低端到高端,从8位到32位全系列应有尽有,最近还新推出8位/32位管脚兼容的QE128,可以从8位直接移植到32位,弥补单片机业界8/32 位兼容架构中缺失的一环! (2)多种系统时钟模块:三种模块,七种工作模式 多种时钟源输入选项,不同的mcu具有不同的时钟产生机制,可以是RC振荡器,外部时钟或晶振,也可以是内部时钟,多数CPU同时具有上述三种模块!可以运行在FEI,FEE,FBI,FBILP,FBE,FBELP,STOP这七种工作模式! (3)多种通讯模块接口: 与其它系列的单片机不同,freescale单片机几乎在内部集成各种通信接口模块:包括串行通信接口模块SCI,多主I2C总线模块,串行外围接口模块SPI,MSCAN08控制器模块,通用串行总线模块(USB/PS2)! (4)具有更多的可选模块:某些MCU具有LCD驱动模块,某些MCU带有温度传感器,某些MCU具有超高频发送模块,部分MCu含有同步处理器模块,某写含有同步处理器的MCU 还具有屏幕显示模块OSD,还有少数的MCU具有响铃检测模块RING和双音多频/音调发生器DMG模块! (5)可靠性高,抗干扰性强 (6)低功耗 也许freescale系列的单片机的功耗没有msp430的低,但是他具有全静态的“等待”和“停止”两种模式,从总体上降低您的功耗!新近推出的几款超低功耗已经与msp430的不相上下! (7)多种引脚数和封装选择 可以说freescale系列单片机具有的MCU种类是最多的了,有些MCU本身就有几种不同的引脚数和封装形式,这样用户各异根据需要来选择,总有一款适合你的开发的单片机! 有关于部分人的freescale单片机模块寄存器多,配置困难不容易上手,可以说freescale单片机模块寄存器的确相对多,就拿GPIO来说就有端口数据寄存器、端口数据方向寄存器、端口内部上拉使能寄存器、端口转换率使能寄存器和端口驱动强度选择寄存器5个寄存器,它的寄存器多是为了解决客户对IO端口的高要求和高可靠性要求,如果不考虑这些,您就只需要配置端口数据寄存器、端口数据方向寄存器这两个寄存器,这就和其他的单片机如430和pic 的难易度一样了! 独有的BDM仿真开发方式和单一引脚用于模态选择和背景通信,HCS08 的开发支持系统包括了背景调试控制器(BDC)和片内调试模块(DBG),BDC提供了一个至目标MCU 的单线调试接口,也就是提供了一个便于在片内FLASH 或其它固定存储器编程的接口.

飞思卡尔16位单片机的资源配置

以MC9S12XS128MAL为例,其实DG128之类的类似。如图一,128代表的是单片机中的FLASH大小为128K Byte,同理64代表的是单片机中的FLASH大小为64 K Byte,256代表的是单片机中的FLASH大小为256 K Byte。但是S12(X)所使用的内核CPU12(X)的地址总线为16位,寻址范围最大为2^16 =64K Byte,而这64K Byte的寻址空间还包括寄存器、EEPROM (利用Data Flash模拟)、RAM等,因此不是所有的64K Byte都是用来寻址FLASH。所以在S12(X)系列单片机中,很多资源是以分页的形式出现的,其中包括EEPROM、RAM、FLASH。EEPROM的每页大小为1K Byte,RAM的每页大小为4K Byte,FLASH的每页大小为16K Byte。因此XS128中EEPROM的页数为8K/1K = 8页,RAM的页数为8K/4K = 2页,Flash的页数为128K/16K = 8页。 图一

图二

在单片普通模式中,复位后,所有内存资源的映射如图二所示,其中从0x0000-0x07FF 的2K范围内映射为寄存器区,如I/O端口寄存器等,当然寄存器没有那么多,后面的一部分其实没有使用; 从0x0800-0x0BFF,共1K的空间,映射为EEPROM区,由上面的分析,XS128中共有8页的共8K的EEPROM,所以这8页的EEPROM都是以分页的形式出现的,可以通过设置寄存器EPAGE选择不同的页并进行访问; 从0x0C00到0x0FFF的1K空间为保留区(其实这里面也有学问,以后探讨); 从0x1000到0x3FFF的12K空间为RAM区,分为三页,但是和前面所说的EEPROM不同,这三页中有2页(对于XS128和XS256)或一页(对于XS64)为固定页,位于12K空间的后一部分,以XS128为例,其内部的RAM资源为8K,所以其三页中的最后两页(0x2000-0x3FFF)为固定页,第一页(0x1000-0x1FFF)为窗口区,通过设置寄存器RPAGE来映射其他分页的RAM,当然在单片普通模式下,XS128内部已经没有其他的RAM了,所以这一页其实也没有用。但是对于XS256,这一页是有用的,因为它总共有12K的RAM。但是,在单片普通模式下,即没有外扩RAM的情况下,用户是不用刻意的去配置RPAGE的,因为复位的时候,已经默认指向那一页的RAM。 从0x4000-0xFFFF的总共48K的空间为Flash区,分为三页。其中第一页和第三页为固定的Flash页,中间的一页(0x8000-0xBFFF)为窗口区,通过设置PPAGE寄存器,可以映射到其他的分页Flash。 在最后的一页固定的Flash区域中的最后256字节中,保存的是中断向量。 对于RAM和Flash来说,其实固定页和其他的分页资源是统一编址的,不同的是固定

飞思卡尔单片机AD转换模块简介

A/D转换模块 1、A/D转换原理 A/D转换的过程是模拟信号依次通过取样、保持和量化、编码几个过程后转换为数字格式。 a)取样与保持 一般取样与保持过程是同时完成的,取样-保持电路的原理图如图16 所示,由输入放大器A 1、输出放大器A 2 、保持电容C H 和电子开关S组成, 要求 A V1 * A V2 = 1。原理是:当开关S闭合时,电路处于取样阶段,电容 器充电,由于 A V1 * A V2 = 1,所以输出等于输入;当开关S断开时,由于 A 2输入阻抗较大而且开关理想,可认为C H 没有放电回路,输出电压保持不 变。 图16 取样-保持电路 取样-保持以均匀间隔对模拟信号进行抽样,并且在每个抽样运算后在足够的时间内保持抽样值恒定,以保证输出值可以被A/D 转换器精确转换。 b)量化与编码 量化的方法,一般有舍尾取整法和四舍五入法,过程是先取顶量化单位Δ,量化单位取值越小,量化误差的绝对值就越小,具体过程在这里就不做介绍了。将量化后的结果用二进制码表示叫做编码。 2、A/D转换器的技术指标 a)分辨率 分辨率说明A/D转换器对输入信号的分辨能力,理论上,n位A/D转换器能区分的输入电压的最小值为满量程的

1/2n 。也就是说,在参考电压一定时,输出位数越多,量化单位就越小,分辨率就越高。S12的ATD模块中,若输出设置为8位的话,那么转换器能区分的输入信号最小电压为19.53mV。 b)转换时间 A/D转换器按其工作原理可以分为并联比较型(转换速度快ns级)、逐次逼近型(转换速度适中us级)、双积分型(速度慢抗干扰能力强)。 不同类型的转化的A/D转换器转换时间不尽相同,S12的ATD模块中,8位数字量转换时间仅有6us,10位数字量转换时间仅有7us。 S12内置了2组10位/8位的A/D模块:ATD0和ATD1,共有16个模拟量输入通道,属于逐次逼近型A/D转换器(这个转换过程与用天平称物的原理相似)。 1、功能结构图

基于飞思卡尔单片机自动循迹小车控制的设计

摘要 随着我国的电子科技的不断发展,我们生活中的自动化设备越来越多,也为嵌入式在智能化上的研究提供了一个广阔的平台。 本系统以MK60DN512VMD100微控制器为核心控制单元,选用OV7620 CMOS 模拟摄像头检测赛道信息,高速AD转换芯片选用TCL5510,将提取后的灰度图像进行软件二值化,进而提取赛道信息;用光电编码器实时检测小车的实时速度,采用PID控制算法调节电机的速度以及舵机转向,从而实现速度和方向的闭环控制。 关键字:MK60DN512VMD100,OV7620 CMOS,软件二值化,PID

Abstract With the continuous development of electronic technology, more and more automation equipment into the production life of the people, the rapid development of embedded intelligent study provides a broader platform. In this paper, the design of intelligent vehicle system MK60DN512VMD100 microcontroller as the core control unit, the selection of OV7620 CMOSanalog cameras to detect the track information, to using TCL5510 high-speed AD converter chip, software binarization image, extract the white guide line for identification of the track information; optical encoder to detect the real-time speed of the model car, using the PID control algorithm to adjust the speed of the drive motor and steering the angle of the steering gear, in order to achieve closed-loop control of velocity and direction of the model car. Keywords: MK60DN512VMD100,OV7620 CMOS,software binarization, PID

飞思卡尔单片机各种功能程序

流水灯四种效果: #include /* common defines and macros */ #include #include /* derivative information */ #pragma LINK_INFO DERIV ATIVE "mc9s12xdp512" #include "main_asm.h" /* interface to the assembly module */ unsigned char temp; //unsigned char pa @0x200; //unsigned char pb @0x202; unsigned char key; static void delay(void) { volatile unsigned long i; for(i=0;i<100000;i++); } static unsigned char random; static void Random(void) { random = (unsigned char)rand(); } void effect1() { unsigned char c; for(c=0;c<=6;c++) { delay(); PORTB = ~(1<=1;c--) { delay(); PORTB = ~(1<=1;c--) {

毕业论文--基于飞思卡尔单片机的智能车设计(含外文翻译)

毕业设计(论文)任务书 课题名称:基于飞思卡尔单片机的智能车设计 完成期限:2009年12月 1日至2010年 5月 10日

一、课题训练内容 通过以全国大学生“飞思卡尔”杯智能车竞赛为背景,设计一台能够自主循迹的小车。整个开发中,严格执行“飞思卡尔”杯智能车竞赛的比赛规则。 二、设计(论文)任务和要求 (1)查阅课题相关参考文献、技术资料,做好备份,以便以后查找; (2)充分分析相关素材,比较多个方案,选择一种完成设计任务; (3)分析和选取完成任务的技术途径和实施方法,第四周前上交毕业设计开题报 告一份。开题报告内容与学校模板要求一致,字数不少于2000字;经指导老师检查合格后才能进行后续工作; (4)补充必要的理论和技术知识,查找相关的元件、器件的参数资料; (5)给出详细的系统设计说明书,画出原理电路图,分析各部分电路功能及原理; (6)根据系统要求,进行硬件设计以及理论数据计算,给出相关参数; (7)根据系统要求,给出系统控制的流程图,编写详细程序; (8)根据系统要求,制作实物和安装调试; (9)撰写毕业设计论文,内容和格式按学校要求执行,(具体要求在学校教务网 的下载专区下载:设计论文规范、格式模板、任务书、开题报告、成绩记录等9个文件)。 三、毕业设计(论文)主要参数及主要参考资料 主要参数: (1)赛道为普通白色板,宽度为60cm,赛道正中间为2.5cm的黑色普通胶带, 铺设赛道地板颜色不作要求,它和赛道之间可以但不一定有颜色差别, 跑道最小曲率半径不小于 50 厘米,跑道可以交叉,交叉角为90 °, 赛道有一个长为1米的出发区,计时起始点两边分别有一个长度10厘米 黑色计时起始线,赛车前端通过起始线作为比赛计时开始或者结束时刻。 (2)须采用飞思卡尔半导体公司的 8 位、 16 位处理器 ( 单核 ) 作为唯一 的微控制器,推荐使用 9S12XS128 ,9S08AW60 微控制器; (3)比赛车模采用官方规定的本成品车模; (4)模型车的电源采用官方的7.2V/2000mA的电池,舵机采用制定的s3010;

飞思卡尔9S12G系列单片机中文简介

飞思卡尔9S12系列单片机中文简介 1.1介绍 MC9S12G系列是一个专注于低功耗、高性能、低引脚数量的高效汽车级16位微控制器产品。这个系列是桥连8位高端微机和16位高性能微机,像MC9S12XS系列。MC9S12G系列是为了满足通用汽车CAN或LIN/J2602通信应用。这些应用的典型例子包括body controllers, occupant detection, doormodules, seat controllers, RKE receivers, smart actuators, lighting modules, and smart junction boxes. MC9S12G系列使用了许多MC9S12XS系列和MC9S12P系列里面的相同特性,包括在闪存(flash memory)上的纠错指令(ECC),一个快速A/D转换器(ADC)和一个为了改善电磁兼容性(EMC)性能的频率调制相位锁存循环(IPLL). MC9S12G系列是高效的对较低的程序存储器至16K。为了简化顾客使用它,特制了一个4字节可擦除扇区的EEPROM。 MC9S12G系列传送所有16位单片机的优势和效率,定位于低成本,低功耗,EMC,现行代码尺寸效率优势被现存8位和16位单片机系列的使用者所分享。像MC9S12XS系列,MC9S12G 系列运行16位位宽的访问对所有的周期和存储器状态都不用等待。 MC9S12G系列可得到的封装有100-pin LQFP, 64-pin LQFP, 48-pinLQFP/QFN, 32-pin LQFP and 20-pin TSSOP,特别是对较少引脚的封装发挥出最大的功能。此外,在每个模块中可得到的I/O口,进一步的可用于中断的I/O口允许从停止或等待模式中唤醒。 1.2特点 这部分说明了MC9S12G系列的关键特性。 1.2.1MC9S12G系列比较 表1-1提供了MC9S12G系列不同型号特点的概要。这个微机系统提供了一个明确的功能范围信息。 表1-1 MC9S12G系列概述

飞思卡尔讲解

哈尔滨工程大学本科生毕业论文 第1章绪论 1.1论文研究的背景 闭环控制是自动控制论的一个基本概念,也称反馈控制,在日常生活的各种控制实例中有具体的表现方式,比如常用交通工具中电车的速度控制,汽车的速度控制,冰箱的温度调节等,其中采用闭环控制方案对直流电机进行调速是生产生活中最常见的一种闭环控制实例。在工业自动化飞速发展的今天,利用高性能单片机来完成对仪器设备的自动化控制是其中最重要的一个环节。本文研究对象是基于Freescale单片机的移动小车控制系统设计,涉及到对直流电机的速度控制,倒车防撞报警器设计,LCD(Liquid Crystal Display)显示等功能,既应用了本科阶段所学的电路基础知识、自动控制理论知识,又充分利用了Freescale单片机的高性能与可靠性。 1.1.1速度闭环控制系统 随着工业自动化以及电子信息技术和自动控制技术的不断发展,电机的种类不断增加,性能也更加出色。以电机为动力的车辆的自动化程度也越来越高,对车辆自动化程度的要求也越来越高,电车近几十年来发展十分迅速,直流电机电瓶车的速度控制水平也得到了极大的提高。转速控制作为电机控制中最关键的部分,具体反映到电车就是在车体速度控制上,而速度闭环控制作为重要的控制方式,得到了最广泛的应用。 直流电机速度闭环控制系统包括以下内容: (1)直流电机在接到起动电压后起动; (2)转速达到预设速度后,利用PWM脉宽调制电路产生方波,并通过单片机设定占空比,达到无级调速; (3)采用直流电机反接制动原理来调速,在增量PID控制算法下达到稳定转速的效果。 1

哈尔滨工程大学本科生毕业论文 速度闭环控制系统硬件组成: (1)PWM脉宽调制电路 (2)测速装置(电压输出型光电码盘) (3)动力装置(直流电机) (4)直流电机驱动器 1.1.2超声波倒车防撞系统 改革开放以后,我国经济快速发展,汽车的拥有量大大增加,一些大中型城市交通拥挤,导致交通事故频发。安全驾驶逐渐成为大家关注的焦点,倒车防撞系统的需求非常迫切,因此对其进行设计生产显得非常重要。此倒车防撞系统利用了超声波的特点和优点,将超声波测距和飞思卡尔单片机结合为一体,设计出一种基于MC9S12DG128B单片机的超声波倒车防撞报警系统。 1、超声波测距模块 在本系统中,超声波模块的主要任务是:通过单片机产生40KHz的脉冲,来激发发射探头发出超声波,接收探头接收到超声波后反馈给单片机一段脉冲。单片机定时器记录发射跟接收的40KHz脉冲的时间,算出时间间隔,然后通过编程算法计算出距离。 2、防撞报警系统 本系统采用LED发光二极管作为报警器。在车体逐渐逼近障碍物的过程中,通过编程使单片机引脚产生一定频率的脉冲,驱动发光二极管。当倒车时候,如果逼近障碍物,则发光二极管闪烁频率会加快,进而判定有障碍物,达到防撞报警的作用。 1.1.3LCD液晶显示系统 在日常生活中,我们对液晶显示器并不陌生。液晶显示模块已作为很多电子产品的最大辅助功能,如在计算器、万用表、电子表及很多家用电子产品中都可以看到,显示的主要是数字、专用符号和图形。在单片机的人机交 2

单片机(飞思卡尔)课程设计

课程设计报告 课程设计名称: 系: 学生姓名: 班级: 学号: 成绩: 指导教师: 开课时间:学年学期

目录 第一章系统概要 (1) 1.1 系统背景 (1) 第二章系统硬件设计 (2) 2.1 系统原理图 (2) 2.2 单片机(MCU)模块 (3) 2.2.1 MC9S08AW60单片机性能概述 (3) 2.2.2 内部结构简图 (3) 2.3 串行通信模块 (4) 2.3.1 MAX232引脚图 (4) 2.3.2 串行通信的电路原理 (5) 2.4 液晶显示模块 (6) 第三章系统软件设计 (8) 3.1 MCU方(C)程序 (8) 3.1.1串行通信子程序 (14) 3.1.2 LCD子程序 (18) 第四章系统测试 (21) 第五章总结展望 (24) 5.1 总结 (24) 5.2 展望 (24) 参考文献 (24)

第一章系统概要 1.1 系统背景 单片机(MCU)的基本定义是:在一块芯片上集成了中央处理器(CPU)、存储器(RAM/ROM等)、定时器/计数器及多种输入输出(I/O)接口的比较完整的数字处理系统。单片机自1976年由Intel公司推出MCS-48开始,迄今已有二十多年了。由于单片机集成度高、功能强、可靠性高、体积小、功耗地、使用方便、价格低廉等一系列优点,目前已经渗入到人们工作和生活的方方面面,几乎“无处不在,无所不为”。单片机的应用领域已从面向工业控制、通讯、交通、智能仪表等迅速发展到家用消费产品、办公自动化、汽车电子、PC机外围以及网络通讯等广大领域。 Freescale的S08系列8位MCU由于稳定性高、开发周期短、成本低、型号多样、兼容性好被广泛应用。HC08是Freescale的08系列之一S08表示增强型HC08,它是在HC08基础上发展起来的,兼容HC08系列。S08是2004年左右推出8位MCU,资源丰富,功耗低,性价比很高,是08系列MCU发展趋势,其性能与许多16位MCU相当。MC9S08AW60是低成本、高性能8位微处理器S08家族中的成员,本次课程设计就是以该芯片为基础,来进行嵌入式的设计。 1.2 系统功能 当按下启动键,电子时钟从当前设定值开始走时。按秒刷新,要求在LCD 屏上显示。若按启动键,则时间暂停,再按,时间继续按秒刷新。 时间格式是”时:分:秒”(00:00:00)。通过向通用I/O端口的引脚输入高或低(1或0)电平,作为启动键,对电子钟进行控制——电子钟开始运行、暂停和继续运行。显示数据时,先把要显示的数据送到数据寄存器中,再通过发送寄存器将数据输入要LCD中显示。

相关主题
文本预览
相关文档 最新文档