当前位置:文档之家› 正弦信号发生器(基于ad9850)

正弦信号发生器(基于ad9850)

正弦信号发生器(基于ad9850)
正弦信号发生器(基于ad9850)

正弦信号发生器[2005年电子大赛二等奖]

文章来源:凌阳科技教育推广中心

作者:广东工业大学陈剑栋姚健棉邱淑康发布时间:2006-5-26 9:43:28

摘要:本系统设计一个正弦信号发生器,使用凌阳公司的16位单片机SPCE061A作为中央控制器,结合DDS芯片AD9850,产生0~15MHz频率可调的正弦信号,正弦信号频率设定值可断电保存;使用宽频放大技术,在50Ω负载电阻上使1K~10MHz范围内的正弦信号输出电压幅度VP-P=6V±1V;产生载波频率可设定的FM和AM信号;调制信号为1KHz的正弦波,调制信号的产生采用DDS技术,由CPLD 和Flash ROM加上DAC进行直接数字合成;二进制基带序列码由CPLD产生,在100KHz固定载波频率下进行数字键控,产生ASK,PSK信号。系统采用全中文菜单操作方式,操作简单,快捷,且系统的精度和稳定性高。

关键字:正弦信号,DDS技术,FM模拟调频,AM模拟调幅,PSK,ASK,宽频放大。

一、方案论证

根据题目要求,本系统主要由主控制器模块、正弦信号发生模块、输出电压放大模块、FM调频电路模块、AM调幅电路模块和人机界面模块构成。如图1.1。

图1.1 系统模块框图

1、主控制器

方案一:采用通用的51单片机AT89S52作为主控制器,完成数据处理,DDS的频率输出控制,键盘的扫描及液晶显示器的显示控制等。由于51单片机内部的RAM和ROM都比较小,考虑到实现本系统需要大量的数据处理及液晶显示需占用大量的ROM资源等,用51单片机实现本系统就需外扩RAM和ROM,实现起来比较麻烦。而且本系统需要用A/D转换器采样调制信号实现调频信号的输出,使用51单片机就需外扩一片A/D转换芯片,实现也比较麻烦。而且基于整个系统的速度要求,51单片机也不能满足要求。

方案二:采用凌阳公司的16位单片机SPCE061A作为主控制器。由于SPCE061A内置有2K字的SRAM和32K字的内存FLASH,能满足本系统数据处理及液晶显示所需数据的存储要求CPU时钟频率高达49.152MHz,能满足速度要求;集成有7通道10位电压模数转换器ADC,可以满足系统采样调制信号的要求;一片凌阳SPCE061A单片机就可以完成整个系统的主要功能,基本不需要扩展其他器件,不仅体积小而且可靠性高。而且凌阳单片机具有C语言风格的汇编语言,有与标准C兼容的C语言,C语言函数可以与汇编函数互相调用,使其开发更加容易,实现整个系统更加简单。

基于此,本系统采用方案二,利用凌阳的16位单片机SPCE061A作为主控制器。

2、正弦信号产生

方案一:采用反馈型LC振荡原理,选择合适的电容、电感就能产生相应的正弦信号。此方案器件比较简单,但是难以达到高精度的程控调节,而且稳定度不高,故不采用。

方案二:采用DDS技术的基本原理。DDS技术是基于Nyquist 采样定理,将模拟信号进行采集,经量化后存入存储器中(查找表),通过CPLD或者FPGA进行寻址查表输出波形的数据,再经D/A 转换滤波即可恢复原波形。根据Nyquist 采样定理知,要使信号能够恢复,必须满足采样频率大于被采样信号最高频率的2倍,否则将产生混叠,经D/A 不能恢复原信号。此方案产生的波形比较稳定,在高频输出时会产生失真,而且电路比较复杂,故不采用。

方案三:直接采用DDS集成芯片。AD9850是AD公司生产的DDS芯片,带并行和串行加载方式,AD9850 内含可编程DDS 系统和高速比较器,能实现全数字编程控制的频率合成。

由于DDS集成芯片能达到要求,而且节省硬件电路,程控调节能够方便实现,本设计采用方案三,作为1K~10MHz正弦信号发生。

3、输出电压放大

方案一:采用高频三极管做功率放大。选择恰当的电阻和电容来实现符合题目要求的放大倍数。但是使用三极管放大时,信号放大的稳定性不高,很难满足题目的要求。故不采用。

方案二:采用宽频运算放大器做前级电压放大,AD8056可以达到300M的带宽,而且频率稳定性好。在后级加上互补对称的推挽式输出电路做电流放大作用。

所以在本设计中采用了方案二。

4、FM调频电路

方案一:使用变容二极管直接调频。变容二极管是根据PN结的结电容随反向电压改变而变化的原理设计的一种二极管。加反向偏压时,变容二极管呈现一个较大的结电容。变容二极管要并接在产生中心频率振荡的选频网络的两端,并加上调制信号,使中心频率随调制信号的幅值的改变而改变,从而达到调频作用。但是本方案会使电路产生的频偏不稳定,容易产生中心频率偏移。

方案二:采用锁相环进行调制,采用锁相环路调频,能够达到中心频率高度稳定的调频信号。由于锁相环能跟踪并锁定中心频率。从而使中心频率有足够高的稳定度。而调制信号就加在VCO(压控振荡器)的输入端,从而使中心频率随调制信号的幅值的改变而改变。如图1.2。本方案比较直观,而且中心频率和频偏都比较准确,但是电路复杂,故不采用。

图1.2 锁相环框图

方案三:凌阳的单片机芯片SPCE061A内部集成有10位ADC。可先将调制信号离散化,当采集完一个周期(1ms)的数据后,计算出每相邻两个抽样点的偏移量,这样就可以根据偏移量控制改变DDS 的输出频率,从而达到调频效果,而且硬件设计简单。

本设计使用方案三。

5、AM调幅电路

方案一:采用单二极管开关状态调幅电路,使二极管近似处于一种理想的开关状态下,在两个不同频率电压作用下进行频率交换。

方案二:采用二极管平衡调幅电路,它是利用二极管的开关状态和平衡抵消的措施,经调幅后通过带通滤波器就可以得到调幅信号。前面两种方案电路实现比较复杂,而且由于采用分立元件,稳定性比较差,调试困难。

方案三:采用模拟乘法器调幅电路,它是一种完成两个模拟信号相乘作用的电路,起到频率搬移的作用,若采用专门的模拟乘法器芯片,电路实现简单,稳定性比较好,功能实现容易,符合题目要求。

基于此,本系统采用方案三,选用集成模拟乘法器MC1496实现AM的模拟调幅。

6、产生二进制PSK、ASK信号

方案一:直接采用DDS实现ASK和PSK,用程序直接控制DDS输出二进制PSK和ASK信号,根据码序列中的'0'或'1'直接控制DDS的相移,便可以实现PSK调制功能,而控制DDS开和关即可实现ASK调制。本方案直接用软件来实现产生二进制ASK,PSK信号,基本不用硬件电路,比较方便,但经过试验,输出的信号不稳定。故不采用。

方案二:采用数字键控的方法来实现,采用模拟开关,利用基带信号控制模拟开关的选通或关闭来实现ASK调制。实现PSK调制时,把100K的载波信号分接成两路,其中一路接增益为-1的运放电路,将载波信号移相180o。'0''1'基带序列码由CPLD产生。本方案硬件设计也比较简单,输出的信号比较稳定,各种指标符合题目要求。

基于此本系统采用了方案二实现产生二进制PSK,ASK信号。

二、详细软硬件设计

根据上面的论证,本系统以凌阳的16位单片机SPCE061A为核心,配合DDS专用芯片AD9850,完成正弦信号的产生,并辅以各个功能模块完成题目的设计要求。

系统的总体框图如图2.1,硬件连接图如图2.2。

图2.1 系统设计框图

图2.2 系统硬件连接图

1、硬件模块设计

(1)正弦信号产生模块

正弦信号产生模块的主要部分是AD9850。

AD9850 采用先进的CMOS 工艺, 其功耗在3.3V 供电时仅为155mW,温度范围为-40~80℃, 采用28 脚SSOP 表面封装形式。图2.3为其组成框图。图中层虚线内是一个完整的可编程DDS系统,外层虚线内包含了AD9850的主要组成部分。AD9850内含可编程DDS系统和高速比较器,能实现全数字编程控制的频率合成。可编程DDS系统的核心是相位累加器, 它由一个加法器和一个N位相位寄存器组成,N为32;每来一个外部参考时钟,相位寄存器便以步长M递加;相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上;正弦查询表包含一个正弦波周期的数字幅度信息, 每一个地址对应正弦波中0°~360°范围的一个相位点;查询表把输入地址的相位信息映射成正弦波幅度信号, 然后驱动DAC 以输出模拟量。

图2.3 AD9850组成框图

相位寄存器每过2N/M个外部参考时钟后返回到初始状态一次, 相应地正弦查询表每经过一个循环也回到初始位置, 从而使整个DDS系统输出一个正弦波。输出的正弦波周期TO = Tc2N/M,频率fout = Mfc/2N ,Tc、fc 分别为外部参考时钟的周期和频率。AD9850采用32位的相位累加器将信号截断成14 位输入到正弦查询表,查询表的输出再被截断成10 位后输入到DAC,DAC输出两个互补的电流。DAC满量程输出电流通过一个外接电阻RSET调节, 调节关系为ISET = 32 (1.248V/ RSET) , RSET 的典型值是3.9kΩ。其系统功能结构如图2.4所示。AD9850在接上精密时钟源和写入频率相位控制字之后就可产生一个频率和相位都可编程控制的模拟正弦波输出, 此正弦波可直接用作频率信号源或经内部

的高速比较器转换为方波输出。在125MHz 的时钟下, 32 位的频率控制字可使AD9850 的输出频率分辨率达0.0291Hz;并具有5位相位控制位,而且允许相位按增量180°、90°、45°、22.5°、11.25°或这些值的组合进行调整。

图2.4 AD9850结构

因为要考虑到FM调频,本系统使AD9850工作于并行方式接线,以提高频率的切换速度。从而达到调制1K正弦波的要求。参考时钟使用42M晶振,设计低通滤波器时,就要去掉42M的高频干扰。DDS 输出的带宽比较高,低通滤波器要采用LC做成7阶切贝雪夫低通滤波。其连接图如图2.5。

图2.5 AD9850 连接图

而且,应在电路中使用一个截止频率为16MHz 的7 阶切比雪夫滤波器, 其电路图如图2.6 所示。在滤波器的设计过程中,能否准确实现高Q值的电感,直接影响着滤波器的最终性能。

图2.6 切贝雪夫低通滤波器

(2)带负载输出

要达到6V±1V的带负载输出,我们先使用宽频运放AD8056做前级放大,为了达到合适的电压增益,我们使用了两级放大切换,改变放大的级数以便适应增益要求;经运放输出的电压电流较弱,带负载能力不强,所以要在运放的后级加上一级推挽输出,提高输出电流。如图2.7、图2.8所示:在推挽输出端接上了50Ω电阻,输出幅度能达到题目的要求。

图2.7 放大电路

图2.8 推挽输出

(3)正弦调制信号的产生

1K正弦调制信号的产生采用DDS技术。DDS技术采用全数字技术实现频率合成,和其它一般的频率合成技术相比,有一些突出的优点和独特的性能:DDS 在相对带宽、频率转换时间、频率分辨率、相位连续性、正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为本系统实现AM,FM调制提供了稳定的正弦调制信号。DDS的实现原理如图2.9:

图2.9 DDS技术的实现

DDS 技术的实现依赖于高速、高性能的数字器件。可编程逻辑器件以其速度高、规模大、可编程,以及有强大EDA 软件支持等特性,十分适合实现频率的合成。

由于本系统要求产生1KHz的正弦调制信号,失真度要求要小,而且稳定性要好,DDS 的失真度除受

D/A 转换器本身的噪声影响外,还与存储深度M和D/A 字长有密切关系,设q 为均匀量化间隔,其失真度近似数学关系为:

THD=

本系统的量化级为256(8 位DAC),经计算其失真度约为5.676%,可以满足设计要求。

系统采用Altera 公司的CPLD 器件EPM7128,其最高工作频率为120MHz,典型可用门5000 门,DDS 设计电路产生的波形存在高次谐波,须进行低通滤波使波形平滑,为使通带内的起伏最小,我们采用了巴特沃斯二阶低通滤波器,如图2.10。巴特沃思二阶低通滤波器的截止频率为f c = 1/ 2πRC 。由于只需产生1KHz的正弦信号,本系统设计的滤波器的截至频率为2KHz,选取C=1uf,经计算取

R=80Ω。

图2.10 二阶巴特沃思滤波器

(4)AM调幅信号的产生

幅度调制是正弦波或脉冲序列的幅度随调制信号线形变化的过程,标准调幅信号可用下式表示:

其中Ac 为外加直流,f (t )表示调制信号.

在AM 调幅中, 输出已调信号的包络与输入调制信号成正比,基于此我们采用控制输入调制信号的幅度来改变调制度ma, 使其可在10%~100%之间程控调节,步进量10%.

本系统中采用的是模拟乘法器MC1496 来实现调制器的设计, MC1496 中包含了由带双电流源的标准差动放大器驱动的四个高位放大器输出集电极交叉耦合,产生了两个输入电压的全波平衡调制乘积现象,也就是说输出信号是一个常数乘以两个输入信号的乘积, 即为V0= KV1V2.

使用模拟乘法器比较容易实现调幅。调制质量高。电路如图2.11。

图2.11 MC1496电路图

(5)ASK、PSK的产生

ASK、PSK采用数字键控的产生方法,图2.12和图2.13分别是他们实现的原理框图。

图2.12 ASK信号调制器原理框图

图2.13 PSK信号调制器原理框图

选用模拟开关CD4052来实现数字键控。要实现PSK还要增加一级放大增益为-1的运算放大电路,使载波信号产生一路的180o 相移。

ASK和PSK的数字序列由CPLD产生.

图2.14 ASK 电路图

图2.15 PSK电路图

(6)LCD显示器

本设计中采用了TG12864A 液晶显示器,该显示器是128×64点阵式液晶,其结构框图见图2.16。

图2.16 TG12864A 液晶显示器结构图

2、软件设计

系统软件部分主要包括了具有友好界面的操作菜单,各种信号的设置和控制。正弦波产生过程为:频率设置,数据处理,然后控制DDS芯片完成各种频率的正弦波产生;调幅波产生过程为:通过调制系数的设置,控制D/A转换器输出,可得到不同幅值的调制波,与载波相乘来实现调幅波的产生;PSK、ASK 产生:通过MCU对模拟开关的控制来完成PSK、ASK的产生。调频信号产生过程:通过A/D转换器采集调制信号,然后根据调制信号的幅度计算出频偏,把频偏数据下载到DDS即可实现调频信号的产生。如图2.17。

图2.17 程序流程图

三、测试说明

1、测试仪器

·HITACHI 20M双踪模拟示波器;

·Tektronix 60M双通道数字示波器;

·HITACHI 数字频率计。

2、指标测试

(1) 正弦波指标测试

把正弦波输出端接入数字频率计,以1K,10K,100K,1M,5M,10M作为测试点,得到如表3.1数据。

表3.1 正弦波指标测试数据

由上表可见,正弦波输出频率在1KHz~10MHz带宽内输出电压能保持在5V-6V之间,频率稳定性也能在10-4之下,频率最小步进可达到1Hz,但输出频率高于5MHz时就会出现少许失真,原因可能是电路设计不足,跳线太多,造成高频干扰。

(2) AM调制波指标测试

把AM输出信号接入示波器,目测其调制系数,测试数据如表3.2。

表3.2 AM调制波指标测试数据

AM调制系数实现了10%的步进,1MHz正弦波作载波时,输出调幅波很稳定且清晰,但随载波频率的增加就会出现重影现象。

(3) FM调制波指标测试

利用模拟示波器测试FM性能,测试结果如表3.3。

表3.3 FM调制波指标测试数据

实现了5/10KHz调频信号的发生。

(4) PSK/ASK指标测试

PSK/ASK发生器输出端接到数字示波器,从示波器可以清晰看见PSK,ASK波形,实现了PSK/ASK 信号的发生。

四、结论

本系统采用DDS芯片实现了1k~10MHz正弦信号的输出功能,并与MCU(SPCE061A精简开发板),模拟开关,模拟乘法器结合实现了调幅、调频、PSK、ASK的输出功能。在操作方面采用了全中文操作菜单方式,界面友好,操作简单,易懂。

正弦信号发生器的设计

XXXX大学现代科技学院DSP硬件电路设计基础课程设计 设计名称正弦信号发生器的设计 专业班级 学号 姓名DENG 指导教师XXXX

课程设计任务书 注: 上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 日期:2014-12-10

专业班级 XXXXXXX 学号 姓名 DENG 成绩 设计题目 正弦波信号发生器 设计目的 学会使用CCS(Code Composer Studio)集成开发环境软件,在此集成开发环境下完成工程项目创建,程序编写,编译,链接,调试以及数据的分析。同时完成一个正弦波信号发生器的程序的编写,并在集成开发环境下进行模拟运行,观察结果。 设计内容 编写一个产生正弦波信号的程序,在CCS 软件下进行模拟运行,观察输出结果。 设计原理 正弦波信号发生器已被广泛地应用于通信、仪器仪表和工业控制等领域的信号处理系统中。通常有两种方法可以产生正弦波,分别为查表法和泰勒级数展开法。查表法是通过查表的方式来实现正弦波,主要用于对精度要求不很高的场合。泰勒级数展开法是根据泰勒展开式进行计算来实现正弦信号,它能精确地计算出一个角度的正弦和余弦值,且只需要较小的存储空间。本次课程设计只要使用泰勒级数展开法来实现正弦波信号。 1. 产生正弦波的算法 在高等数学中,正弦函数和余弦函数可以展开成泰勒级数,其表达式为 若要计算一个角度x 的正弦和余弦值,可取泰勒级数的前5项进行近似计算。 ……………………………………装………………………………………订…………………………………………线………………………………………

由上述两个式子可以推导出递推公式,即 sin(nx)=2cos(x)sin[(n-1)x]-sin[(n-2)x] cos(nx)=2cos(x)sin[(n-1)x]-cos[(n-2)x] 由递推公式可以看出,在计算正弦和余弦值时,不仅需要已知cos(x),而且还需要sin[(n-1)x]、sin[(n-2)x]和cos[(n-2)x]。 2. 正弦波的实现 ⑴计算一个角度的正弦值 利用泰勒级数的展开式,可计算一个角度x的正弦值,并采用子程序的调用方式。在调用前先在数据存储器d_xs单元中存放x的弧度值,计算结果存放在d_sinx单元中。 ⑵计算一个角度的余弦值 利用余弦函数展开的泰勒级数的前五项计算一个角度的余弦值,可采用子程序的调用方式来实现。调用前先将x弧度值放在数据存储器d_xc单元中,计算结果存放在d_cosx单元中。 ⑶正弦波的实现 利用计算一个角度的正弦值和余弦值程序可实现正弦波。其实现步骤如下:第一步:利用sin_start和cos_start 子程序,计算 45°~0°(间隔为 0.5°)的正弦和余弦值; 第二步:利用sin(2x)=2sin(x)cos(x)公式,计算 90°~0°的正弦值(间隔为1°);第三步:通过复制,获得359°~0°的正弦值; 第四步:将359°~0°的正弦值重复从PA口输出,便可得到正弦波。 在实际应用中,正弦波是通过D/A口输出的。选择每个正弦周期中的样点数、改变每个样点之间的延迟,就能够产生不同频率的波形,也可以利用软件改变波形的幅度以及起始相位。 总体方案设计 1. 总体实现方案 我们知道一个角度为x的正弦和余弦函数,都可以展开为泰勒级数,且其前五项可以看为:

方波-三角波-正弦波函数信号发生器

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:设计制作一个产生方波-三角波-正弦波函数转换器学院名称:信息工程学院 专业:电子信息科学与技术班级: xxxxxxxx 学号: xxxxxxx 姓名: xxxxx 评分:教师: xxxxxx 20 13 年 10 月 15 日

电子课程设计 课程设计任务书 20 13 -20 14 学年 第 1 学期 第 1 周- 3 周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要 当今世界在以电子信息技术为前提下推动了社会跨越式的进步,科学技术的飞速发展日新月异带动了各国生产力的大规模提高。由此可见科技已成为各国竞争的核心,尤其是电子通信方面更显得尤为重要,在国民生产各部门都得到了广泛的应用,而各种仪器在科技的作用性也非常重要,如信号发生器、单片机、集成电路等。 信号发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和 教学实验等领域。常用超低频信号发生器的输出只有几种固定的波形,有方波、 三角波、正弦波、锯齿波等,不能更改信号发生器作为一种常见的应用电子仪器 设备,传统的可以完全由硬件电路搭接而成,如采用LM324振荡电路发生正弦波、 三角波和方波的电路便是可取的路径之一,不用依靠单片机。 本系统本课题将介绍由LM324集成电路组成的方波——三角波——正弦波 函数信号发生器的设计方法,了解多功能函数信号发生器的功能及特点,进一步 掌握波形参数的测试方法,制作这种低频的函数信号发生器成本较低,适合学生 学习电子技术测量使用。制作时只需要个别的外部元件就能产生正弦波、三角波、 方波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。 关键字:信号发生器、波形转换、LM324

正弦信号发生器2

正弦信号发生器[2005年电子大赛一等奖] 文章来源:凌阳科技教育推广中心 作者:华中科技大学(华中科技大学曹震陈国英孟芳宇)发布时间:2006-4-21 17:33:13 本系统基于直接数字频率合成技术;以凌阳SPCE061A单片机为控制核心;采用宽带运放AD811和AGC技术使得50Ω负载上峰值达到6V±1V;由模拟乘法器AD835产生调幅信号;由数控电位器程控调制度;通过单片机改变频率字实现调频信号,最大频偏可控;通过模拟开关产生ASK、PSK信号。系统的频率范围在100Hz~12MHz,稳定度优于10-5,最小步进为10Hz。 一、方案论证 根据题目要求和本系统的设计思想,系统主要包括图1.1所示的模块。 图1.1 系统模块框图

1、单片机选型 方案一:采用现在比较通用的51系列单片机。51系列单片机的发展已经有比较长的时间,应用比较广泛,各种技术都比较成熟,但此系列单片机是8位机,处理速度不是很快,资源不够充足,而且其最小系统的外围电路都要自己设计和制作,使用起来不是很方便,故不采用。 方案二:选用凌阳公司的SPCE061A单片机。SPCE061A单片机是16位的处理器,主频可以达到49MHz,速度很快,再加上其方便的ADC接口,非常适合对高频信号进行数字调频,如果对音频信号进行A/D采样,经过数字调频并发射,完全可以达到调频广播的效果。 结合题目的要求及SPCE061A单片机的特点,本系统选用凌阳公司的此款单片机。 2、频率合成模块 方案一:锁相环频率合成。如图1.2,锁相环主要由压控LC振荡器,环路滤波器,鉴相器,可编程分频器,晶振构成。且频率稳定度与晶振的稳定度相同,达10-5,集成度高,稳定性好;但是锁相环锁定频率较慢,且有稳态相位误差,故不采用。 图1.2 锁相环的基本原理 方案二: 直接数字频率合成。直接数字频率合成DDFS(Direct Digital Frequency Synthesizer)基于Nyquist定理,将模拟信号采集,量化后存入存储器中,通过寻址查表输出波形数据,再经D/A转

正弦信号发生器(参考2)

正弦信号发生器 作者:曾立丁运鸿陈亮 赛前辅导及文稿整理辅导教师:肖看 摘要 本系统以51单片机及FPGA为控制核心,由正弦信号发生模块、功率放大模块、调幅(AM)、调频(FM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生5Hz-20MHz正弦信号,经滤波、放大和功放模块放大至6v并具有一定的驱动能力。测试信号发生模块产生的1kHz正弦信号经过调幅(AM)模块、调频(FM)模块,对高频载波进行调幅或调频。二进制基带序列信号送入数字键控模块,产生二进制PSK或ASK 信号,同时对ASK信号进行解调,恢复出原始数字序列。另外,本系统还配备有液晶显示屏、遥控键盘,提供了友好的人机交互界面。 ABSTRACT This system is in the core of Micro-Processor and FPGA (Field Programmable Gate Array), consist of sine signal generating module, Power amplifier, Amplitude Modulator, Frequency Modulator, ASK/PSK module and test signal generating module. The AD9851 controlled by Micro-Process in digital way to generate sine signal with the bandwidth 5Hz to 20MHz adjustable per 1Hz. After processing by LPF & power amplifier, the output signal has a peak value of move than 6V. The sine signal at 1 KHz was send to AM and FM module to modulate the high frequency carrier waveform. The binary sequential was send to the relative module to generate ASK and PSK signal. At last demodulate module demodulate the ASK signal and got the same binary sequential as set before. In order to provide a friendly user interface, the LCD and remote infrared control keyboard was introduced in this system.

简易信号发生器和简易频率计

中原工学院 电子技术综合课程设计任务书

目录 第一张概述 (3) 第二章课程设计基本步骤和方法 (4) 第三章设计题目及内容 (6) 第四章心得体会 (16) 第五章主要设备及清单 (17) 第六章参考文献 (19) 第七章附录 (20)

概述 电子技术综合是高校电子类专业的重要技术课程,是继开设的“电子线路”、“数字电子技术”、“模拟电子技术”和“EDA”后的一门独立的课程,是加强学生专业实践,培养学生运用理论知识解决实践问题、训练科学实验能力和创新能力的主要环节。 在教师的指导下,学生通过综合运用所学知识,结合电子技术方面某一专题独立的开展电路的设计、安装与实验。其基本任务一方面是巩固模拟电子技术、数字电子技术课程及前期基础性试验的学习成果,两一方面是培养和训练学生的科学作风及其在电子技术方面的实践技能,提高学生综合运用电子技术知识解决实际问题的能力。 该课程是时间性非常强的课程,强调学生对电子技术的应用,运用所学的知识来解决实际的问题,学生通过熟悉设计任务、查找资料、设计电路、计算机仿真、安装调试和总结书写设计报告环节,学会自己分析、找出解决问题的方法;对设计中遇到的问题,能独立思考,查阅资料,寻找答案;掌握一些测试电路的基本方法,实践中出现一般故障,能通过“分析、观察、判断、实验、在判断”的基本方法独立解决;初步掌握电子工程设计的思路和方法,学习电子产品生产工艺的基本知识和基本操作技能,为将来能在工

作岗位上灵活运用所学的知识以及学习接受新的电子技术知识打下良好的基础。 第二章课程设计基本步骤和方法 1.方案设计 根据设计任务书给定的技术指标和条件,初步设计出完整的电路(预设计)。 主要任务是准备好实验文件,其中包括:划出方框图;画出构成框图的个单元的逻辑电路图;画出整体逻辑图;提出元器件清单;画出连接图。要完成这一阶段的任务,需要设计者进行反复思考,大量参阅文献和资料,将各种方案进行比较及可行性论证,然后才能将方案确定下来。具体步骤是: A明确带设计系统的总体方案;

正弦信号发生器(2012)(DOC)

正弦信号发生器 摘要:本系统以MSP430和DDS为控制核心,由正弦信号发生模块、功率放大模块、频率调制(FM)、幅度调制(AM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生1kHz~10MHz正弦信号;经滤波、放大和功放模块达到正弦信号输出电压幅度 =6V±1V 并具有一定的驱动能力的功能;产生载波信号可设定的AM、FM信号;二进制基带序列码由CPLD产生,在100KHz固定载波频率下进行数字键控,产生ASK,PSK 信号且二进制基带序列码速率固定为10kbps,二进制基带序列信号可自行产生。 关键词:DDS;宽频放大;模拟调频;模拟调幅。 一、方案比较与论证 1.方案论证与选择 (1)正弦信号产生部分 方案一:使用集成函数发生器芯片ICL8038。 ICL8038能输出方波、三角波、正弦波和锯齿波四种不同的波形,将他作为正弦信号发生器。它是电压控制频率的集成芯片,失真度很低。可输入不同的外部电压来实现不同的频率输出。为了达到数控的目的,可用高精度DAC来输出电压以控制正弦波的频率。 方案二:锁相环频率合成器(PLL) 锁相环频率合成器(PLL)是常用的频率合成方法。锁相环由参考信号源、鉴相器、低通滤波器、压控振荡器几个部分组成。通过鉴相器获得输出的信号FO与输入信号Fi的相位差,经低通滤波器转换为相应的控制电压,控制VCO输出的信号频率,只有当输出信号与输入信号的频率于相位完全相等时,锁相环才达到稳定。如果在环路中加上分频系数可程控的分频器,即可获得频率程控的信号。由于输出信号的频率稳定度取决于参考振荡器信号fi ,参考信号fi 由晶振分频得到,晶振的稳定度相当高,因而该方案能获得频率稳定的信号。一般来说PLL的频率输出范围相当大,足以实现1kHz-10MHZ的正弦输出。如果fi=100Hz 只要分频系数足够精细(能够以1步进),频率100Hz步进就可以实现。 方案三:直接数字频率合成(DDS) DDS是一种纯数字化方法。它现将所需正弦波一个周期的离散样点的幅值数字量存入ROM中,然后按一定的地址间隔(相位增量)读出,并经DA转换器形成模拟正弦信号,再经低通滤波器得到质量较好的正弦信号,DDS原理图如图1所示:

EDA课程设计-正弦信号发生器的设计

《EDA技术》设计报告 设计题目正弦信号发生器的设计 院系:信息工程学院 专业:通信工程____ 学号: 姓名:__________

一.设计任务及要求 1.设计任务: 利用实验箱上的D/A 转换器和示波器设计正弦波发生器,可以在示波器上观察到正弦波 2.设计要求: (1) 用VHDL 编写正弦波扫描驱动电路 (2)设计可以产生正弦波信号的电路 (3)连接实验箱上的D/A 转换器和示波器,观察正弦波波形 二.设计方案 (1)设计能存储数据的ROM 模块,将正弦波的正弦信号数据存储在在ROM 中,通过地址发生器读取,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 (2)用VHDL 编写正弦波信号数据,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 三.设计框图 图 1 设计框图 信号发生器主要由以下几个部分构成:计数器用于对数据进行采样,ROM 用于存储待采样的波形幅度数值,TLV5620用于将采集的到正弦波数字量变为模拟量,最后通过示波器进行测量获得的波形。其中,ROM 设置为7根地址线,8个数据位,8位并行输出。TLV5260为串行输入的D/A 转换芯片,因此要把ROM 中并行输出的数据进行并转串。 四.实现步骤 1.定制ROM 计 数 器 7根地址线 8 位 R O M 并转串输出 CLK TLV5620D/A 转换 RST

ROM的数据位选择为8位,数据数选择128个。利用megawizard plug-in manager定制正弦信号数据ROM宏功能块,并将上面的波形数据加载于此ROM中。如图3所示。 图2 ROM存储的数据 图3 调入ROM初始化数据文件并选择在系统读写功能 2.设计顶层

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

简易信号发生器设计制作

简易信号发生器设计制作 一、训练目的 (1)掌握正弦波、三角波、矩形波和方波发生电路的工作原理; (2)学会正弦波、三角波、矩形波和方波发生电路的设计方法; (3)进一步熟悉电子线路的安装、调试、测试方法。 二、工作原理 正弦波、三角板、矩形波是电子电路中常用的测试信号,如测试放大器的增益、通频带等均要用到正弦信号作为测试信号。下面分别介绍产生这三种信号电路结构和工作原理。 1.正弦信号发生器 正弦信号的产生电路形式比较多,频率较低时常用文氏电桥振荡器,图7-1为实用文氏电桥振荡电路。图中R 1、R 2、R 3、RW 2构成负反馈支路,二极管D 1、D 2构成稳幅电路,C 2、R 11(或R 12或R 13)、C 1、R 21(或R 22或R 23)串并联电路构成正反馈支路,并兼作选频网络。调节电位器RW 2可以改变负反馈的深度,以满足振荡的振幅条件和改善波形。二极管D 1、D 2要求温度稳定性好,特性匹配以确保输出信号正负半周对称,R 4接入用以消除二极管的非线性影响,改善波形失真。如K1接电阻R 11、K2接R 21,并且R 11= R 21=R ,C 1= C 2=C ,则电路的振荡频率为: 1 2f RC π= (7-1) 起振的幅值条件: 1 1f v R A R =+ (7-2) 图7-1 正弦信号发生器 通过调整RW 2可以改变电路放大倍数,能使电路起振并且失真最小。该电路可通过开关K1、K2选择不同的电阻以得到不同频率的信号输出。 2.方波和矩形波发生器

方波发生电路如图7-2,其基本原理是在滞回比较器的基础上增加了由R 4和C 1构成的积分电路,输出电压通过该积分电路送人到比较器的反相输入端。其中R 3 、D Z1和D Z2构成双向限幅电路,这样就构成了方波发生器电路,其工作原理如下: 假设在接通电源瞬间,输出电压o v 为Z V +(稳压二极管D Z1、D Z2额定工作时的稳压值),这时比较器同相端的输入电压为 2 12 Z R v V R R +≈ + (7-3) 同时输出电压o v 会通过电阻R 4给C 1充电,反相端的输入电压v -就会逐步升高,当反向输入端的电压v -略大于同相端输入电压v +时,比较器输出电压立即从Z V +翻转为Z V -,这时输出端电压o v 为Z V -,比较器同相端输入电压v +'为 2 12 Z R v V R R +'≈- + (7-4) 这时输出的电压o v 会通过R 4对C 1进行反向充电,当反相输入端的电压略低于v +'时,输出状态再翻转回来,如此反复形成方波信号。所产生方波信号的频率为 41 1 2f R C = 方波 (7-5) R 4 o 图7-2 方波发生电路

正弦波信号发生器设计(课设)

课程设计I(论文)说明书 (正弦波信号发生器设计) 2010年1月19日

摘要 正弦波是通过信号发生器,产生正弦信号得到的波形,方波是通过对原信号进行整形得到的波形。 本文主要介绍了基于op07和555芯片的正弦波-方波函数发生器。以op07和555定时器构成正弦波和方波的发生系统。Op07放大器可以用于设计正弦信号,而正弦波可以通过555定时器构成的斯密特触发器整形后产生方波信号。正弦波方波可以通过示波器检验所产生的信号。测量其波形的幅度和频率观察是否达到要求,观察波形是否失真。 关键词:正弦波方波 op07 555定时器

目录 引言 (2) 1 发生器系统设计 (2) 1.1系统设计目标 (2) 1.2 总体设计 (2) 1.3具体参数设计 (4) 2 发生器系统的仿真论证 (4) 3 系统硬件的制作 (4) 4 系统调试 (5) 5 结论 (5) 参考文献 (6) 附录 (7) 1

引言 正弦波和方波是在教学中经常遇到的两种波形。本文简单介绍正弦波和方波产生的一种方式。在这种方式中具体包含信号发生器的设计、系统的论证、硬件的制作,发生器系统的调制。 1、发生器系统的设计 1.1发生器系统的设计目标 设计正弦波和方波发生器,性能指标要求如下: 1)频率范围100Hz-1KHz ; 2)输出电压p p V ->1V ; 3)波形特性:非线性失真~γ<5%。 1.2总体设计 (1)正弦波设计:正弦波振荡电路由基本放大电路、反馈网络、选频网 络组成。

2 图1.1 正弦波振荡电路产生的条件是要满足振幅平衡和相位平衡,即AF=1; φa+φb=±2nπ;A=X。/Xid; F=Xf/X。;正弦波振荡电路必须有基本放大电路, 本设计以op07芯片作为其基本放大电路。 基本放大电路的输出和基本放大电路的负极连接电阻作为反馈网络。反馈网络中 两个反向二极管起到稳压的作用。振荡电路的振荡频率f0是由相位平衡条件决 定的。一个振荡电路只在一个频率下满足相位平衡条件,这要求AF环路中包含 一个具有选频特性的选频网络。f0=1/2πRC。要实现频率可调,在电容C不变的 情况下电阻R可调就可以实现频率f0的变化。 (2)方波设计:方波可以把正弦波通过斯密特触发器整形后产生。基于555定时器接成的斯密特触发器。 设斯密特触发器输出波形为V1,V2且V1>V2。 输入正弦波v1从0逐渐升高的过程:v1<1/3Vcc时,输出v0=V1; 当1/3Vcc2/3Vcc时,v0=V2; 输入正弦波v1从高于2/3Vcc开始下降的过程:当1/3Vcc

方波——三角波——正弦波函数信号发生器

1函数发生器的总方案及原理框图(1) 1.1电路设计原理框图(1) 1.2 电路设计方案设计(1) 2 设计的目的及任务(2) 2.1 课程设计的目的(2) 2.2课程设计的任务与要求(2) 2.3课程设计的技术指标(2) 3 各部分电路设计(3) 3.1方波发生电路的工作原理(3) 3.2方波---三角波转换电路的工作原理(3) 3.3三角波---正弦波转换电路的工作原理,,,,,,,(6) 3.4电路的参数选择及计算(8) 3.5 总电路图(10) 4 电路仿真(11) 4.1方波---三角波发生电路的仿真(11) 4.2三角波---正弦波转换电路的仿真(12) 5 电路的安装与调试(13) 5.1方波---三角波发生电路的安装与调试(13) 5.2三角波---正弦波转换电路的安装与调试,,,,,,,(13) 5.3总电路的安装与调试(13) 5.4电路安装与调试中遇到的问题及分析解决方法,,,,(13) 6 电路的实验结果(14) 6.1方波---三角波发生电路的实验结果(14) 6.2三角波---正弦波转换电路的实验结果(14) 6.3实测电路波形、误差分析及改进方法(15) ,,,,,,,,,,,,,,,,,,,,,,,, (17)7 实验总结 8 仪器仪表明细清单(18) 9 参考文献(19)

1. 函数发生器总方案及原理框图 1.1原理框图 1.2函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管), 也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波一三角波一正弦波函数发生器的设计方法。 产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波一方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波一三角波,再将三角波变换成正弦波的电路设计方法, 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波一三角波产生电路,比较器输出的方波经积分器 得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形 变换的原理是利用差分放大器传输特性曲线的非线性。

简易函数信号发生器

课程设计任务书 (一)设计目的 1、掌握信号发生器的设计方法和测试技术。 2、了解单片函数发生器IC8038的工作原理和应用。 3、学会安装和调试分立元件与集成电路组成的多级电子电路小系统。 (二)设计技术指标与要求 1、设计要求 (1)电路能输出正弦波、方波和三角波等三种波形; (2)输出信号的频率要求可调; (3)拟定测试方案和设计步骤; (4)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (5)在面包板上或万能板或PCB板上安装电路; (6)测量输出信号的幅度和频率; (7)撰写设计报告。 2、技术指标 频率范围:100Hz~1KHz 1KHz~10KHz; 输出电压:方波V P-P≤24V,三角波V P-P=6V,正弦波V P-P=1V;方波t r小于1uS。 (三)设计提示 1、方案提示: (1)设计方案可先产生正弦波,然后通过整形电路将正弦波变成方波,再由积分电路将方波变成三角波;也可先产生三角波-方波,再将三角波变成正弦波。 (2)也可用单片集成芯片IC8038实现,采用这种方案时要求幅度可调。 2、设计用仪器设备: 示波器,交流毫伏表,数字万用表,低频信号发生器,实验面包板或万能板,智能电工实验台。 3、设计用主要器件: (1)双运放NE5532(或747)1只(或741 2只)、差分管3DG100 4个、电阻电容若干; (2)IC8038、数字电位器、电阻电容若干。 4、参考书: 《电子线路设计·实验·测试》谢自美主编华中科技大学出版社 《模拟电子技术基础》康华光主编高等教育出版社 《模拟电子技术》胡宴如主编高等教育出版社 (四)设计报告要求 1、选定设计方案; 2、拟出设计步骤,画出设计电路,分析并计算主要元件参数值; 3、列出测试数据表格; 4、调试总结,并写出设计报告。 (五)设计总结与思考 1、总结信号发生器的设计和测试方法;

单片机制作简易正弦波信号发生器(DAC0832)

调试时,电源的质量需要较高,不然的话,波形不易观察看清楚。 //河北工程大学信电学院自动化系 //设计调试成功 ***************将DA输出的 0V ~ -5V范围扩展成 -5V ~ +5V范围,电路如下图:*************** 如若VO2输出更平滑一些,可以在VO2处接一个小电容,滤掉高频。 (一)过程分析计算如下: ?第一级运放出来的V o1=-N*V ref/256。当V ref为+5V时,V o1=0~ -5V。 其中,V ref为参考电压,N为8位数字量输出到DAC0832 ?并结合第二级运放,是否可以推出来如下式子: V o2=-(2*V o1+V ref)=-(2*-N*V ref/256+V ref) =-(-2N*V ref/256+V ref) =2N*V ref/256-V ref 当参考电压V ref=5V时,V o2=10N/256-5。 由于要求输出的是正弦波xsinθ,幅值x不定,下面考虑幅值x分别取5和1的情况: ●当输出波形为5 sinθ时:5 sinθ=V o2 =2N*V ref/256-V ref =10N/256-5 //此时V ref=+5V 得sinθ=2N/256-1

●当输出波形为sinθ时:sinθ=V o2 =2N*V ref/256-V ref =10N/256-5 //此时V ref=+5V 得sinθ=10N/256-5 最后可以考虑输出波形的频率问题。例如要求输出特定频率的正弦波。 (二)针对输出的不同幅值波形 ?当输出波形为5 sinθ时:得sinθ=2N/256-1 这里我们要求进步为一度。具体到进步大小,和内存RAM或者ROM有关,即和你存放数据表的空间有关。放到哪个空间都可以。(这里周期采样最多256个点,步数可以为1、2、5等,自己视情况而定,这里由于是360度,256个采样点,故步的大小360/256=1.4=△θ,由此算的前三个 θ=0,1.4,2.8……,对应N为0x80,0x83,0x86……) 通过sinθ的特征和计算部分数据发现规律: 0~90度与90~180度大小是对称的;181~270度与270~359度是对称的。 故,不是所有数据都是计算的。

函数信号发生器使用说明(超级详细)

1-1 SG1651A函数信号发生器使用说明 一、概述 本仪器是一台具有高度稳定性、多功能等特点的函数信号发生器。能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。TTL可与主信号做同步输出。还具有VCF输入控制功能。频率计可做内部频率显示,也可外测1Hz~的信号频率,电压用LED显示。 二、使用说明 面板标志说明及功能见表1和图1 图1 序 号 面板标志名称作用1电源电源开关按下开关,电源接通,电源指示灯亮 2 波形波形选择1、输出波形选择 2、与1 3、19配合使用可得到正负相锯齿波和脉冲波 3频率频率选择开关频率选择开关与“9”配合选择工作频率外测频率时选择闸门时间 4Hz频率单位指示频率单位,灯亮有效 5K Hz频率单位指示频率单位,灯亮有效 6闸门闸门显示此灯闪烁,说明频率计正在工作 7溢出频率溢出显示当频率超过5个LED所显示范围时灯亮 8频率LED 所有内部产生频率或外测时的频率均由此5个LED显示 9频率调节频率调节与“3”配合选择工作频率 10直流/拉出直流偏置调节输 出 拉出此旋钮可设定任何波形的直流工作点,顺时 针方向为正,逆时针方向为负

DC1641数字函数信号发生器使用说明 一、概述 DC1641使用LCD显示、微处理器(CPU)控制的函数信号发生器,是一种小型的、由集成电路、单片机与半导体管构成的便携式通用函数信号发生器,其函数信号有正弦波、三角波、方波、锯齿波、脉冲五种不同的波形。信号频率可调范围从~2MHz,分七个档级,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz(50、100MHz[根据用户需要])。计数频率等功能信息均由LCD 显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。 二、技术要求 函数发生器 产生正弦波、三角波、方波、锯齿波和脉冲波。 2.1.1函数信号频率范围和精度 a、频率范围 由~2MHz分七个频率档级LCD显示,各档级之间有很宽的覆盖度, 如下所示: 频率档级频率范围(Hz) 1 ~2 10 1~20 100 10~200 1K 100~2K 10K 1K ~20K 100K 10K ~200K

正弦信号发生器设计

正弦信号发生器设计方案 1 引言 为了精确地输出正弦波、调幅波、调频波、PSK及ASK等信号,并依据直接数字频率合成(Direct Digital FrequencySvnthesizer,简称DDFS)技术及各种调制信号相关原理,设计了一种采用新型DDS器件产生正弦波信号和各种调制信号的设计方法。采用该方法设计的正弦信号发生器已广泛用于工程领域,且具有系统结构简单,界面友好等特点。 2 系统总体设计方案 图1给出系统总体设计方框图,它由单片机、现场可编程门阵列(FPGA)及其外围的模拟部分组成。在FPGA的内部数字部分中,利用FPGA内部的总线控制模块实现与键盘扫描、液晶控制等人机交互模块的通信,并在单片机与系统工作总控制模块之间的交互通信中起桥梁作用。系统工作总控制可统一控制各个时序模块;各时序模块用于完成相应的控制功能。在模拟部分中,利用无源低通滤波器及放大电路,使AD9851型DDS模块的输出信号成为正弦波和FM调制信号;再利用调幅电路,使FPGA内部DDS模块产生的信号与AD9851输出的载波信号变为调幅信号,同时在基带码控制下通过PSK/ASK调制电路得到PsK和ASK信号。最后,各路信号选择通道后,经功率放大电路驱动50Ω负载。 3 理论分析与计算 3.1 调幅信号 调幅信号表达式为:

式中:ω0t,ωt分别为调制信号和载波信号的角频率;MA为调制度。 令V(O)=Vocos(ω0t),V(ω)=MAcos(ωt),则V(t)=V(O)+V(O)V(ω)。故调幅信号可通过乘法器和加法器得到;通过改变调制信号V(ω)的幅值改变MA,V(ω)的范围为0.1~l V,MA对应为10%~100%。 3.2 调频信号 采用DDS调频法产生调频信号,具体实现方法:通过相位累加器和波形存储器在FPGA内部构成一个DDS模块,用于产生1 kHz的调制信号。其中,波形存储器的数据即为调制信号的幅度值。将这些表示幅度值的数据直接与中心频率对应的控制字相加,即可得到调频信号的瞬时频率控制字,再按调制信号的频率切换这些频率控制字,即可得到与DDS模块输出相对应的调频信号。 3.3 PSK和ASK信号 ASK信号是振幅键控信号,可用一个多路复用器实现。当控制信号为1时,选择载波信号输出;当控制信号为0时,不选择载波信号输出;当控制信号由速率为10 Kb/s的数字脉冲序列给出时,可以产生ASK信号。PSK信号是移相键控信号,这里只产生二相移相键控,即BPSK信号。它的实现方法与ASK基本相同,只是在控制信号为0时,选择与原载波信号倒相的输出信号,该倒相信号可由增益倍数为l的反相放大电路实现。 4 主要功能电路设计 图2给出调幅电路。它采用ADI公司的乘法器AD835实现。该器件内部自带加法器,可直接构成调幅电路。图3给出PSK/ASK电路。它主要由多路复用器和移相器构成。其中,移相器采用Maxim公司的高速运算放大器MAX477所构成的反相放大电路实现,多路复用器采用ADI公司的AD7502。当两条通道选择控制线A1AO为ll时,输出原信号;当A1A0为00时,输出原信号的反相信号;当A1A0为01时,无信号输出。这样只要FPGA按固定速率通过Al和AO两条控制线给出基带序列信号,就能相应输出PSK和ASK信号。

方波、三角波、正弦波函数信号发生器

内蒙古工业大学信息工程学院 内蒙古工业大学信息工程学院 课程学习报告 设计题目:如何实现正弦波、方波与三角波信号之间的变换 课程名称:模拟电子技术 班级: 姓名: 学号: 成绩: 指导教师:

目录 1 函数发生器的总方案及原理框图 (1) 1.1 电路设计原理框图 (1) 1.2 电路设计方案设计 (1) 2设计的目的及任务 (2) 2.1 课程设计的目的 (2) 2.2 课程设计的任务与要求 (2) 2.3 课程设计的技术指标 (2) 3 各部分电路设计 (3) 3.1 方波发生电路的工作原理 (3) 3.2 方波---三角波转换电路的工作原理 (3) 3.3 三角波---正弦波转换电路的工作原理 (6) 3.4电路的参数选择及计算 (8) 3.5 总电路图 (10) 4 电路仿真 (11) 4.1 方波---三角波发生电路的仿真 (11) 4.2 三角波---正弦波转换电路的仿真 (12) 5电路的安装与调试 (13) 5.1 方波---三角波发生电路的安装与调试 (13) 5.2 三角波---正弦波转换电路的安装与调试 (13) 5.3 总电路的安装与调试 (13) 5.4 电路安装与调试中遇到的问题及分析解决方法 (13) 6电路的实验结果 (14) 6.1 方波---三角波发生电路的实验结果 (14) 6.2 三角波---正弦波转换电路的实验结果 (14) 6.3 实测电路波形、误差分析及改进方法 (15) 7 实验总结 (17) 8 仪器仪表明细清单 (18) 9 参考文献 (19)

1.函数发生器总方案及原理框图 1.1 原理框图 1.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法。 产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法, 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。

函数信号发生器实验报告

青海师范大学 课程设计报告课程设计名称:函数信号发生器 专业班级:电子信息工程 学生姓名:李玉斌 学号:20131711306 同组人员:郭延森安福成涂秋雨 指导教师:易晓斌 课程设计时间:2015年12月

目录 1 设计任务、要求以及文献综述 2 原理综述和设计方案 2.1 系统设计思路 2.2设计方案及可行性 2.3 系统功能块的划分 2.4 总体工作过程 3 单元电路设计 3.1 安装前的准备工作 3.2 万用表的安装过程 4 结束语 1设计任务、要求 在现代电子学的各个领域,常常需要高精度且频率可方便调节的信号发生器。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路称为函数信号发生器,又名信号源或振荡器。函数信号发生器与正弦波信号发生器相比具有体积小、功耗少、价格低等优点, 最主要的是函数信号发生器的输出波形较为灵活, 有三种波形(方波、三角波和正弦波)可供选择,在生产实践,电路实验,设备检测和科技领域中有着广泛的应用。 该函数信号发生器可产生三种波形,方波,三角波,正弦波,具有数字显示输出信号频率和电压幅值功能,其产生频率信号范围1HZ~100kHZ,输出信号幅值范围0~10V,信号产生电路由比较器,积分器,差动放大器构成,频率计部分由时基电路、计数显示电路等构成。幅值输出部分由峰值检测电路和芯片7107等构成。 技术要求: 1. 信号频率范围 1Hz~100kHz; 2. 输出波形应有:方波、三角波、正弦波; 3. 输出信号幅值范围0~10V; 4. 具有数字显示输出信号频率和电压幅值功能。

2原理叙述和设计方案 2.1 系统设计思路 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件(如低频信号函数发生器S101全部采用晶体管),也可以是集成器件(如单片集成电路函数信号发生器ICL8038)。产生方波、正弦波、三角波的方案也有多种,如先产生方波,再根据积分器转换为三角波,最后通过差分放大电路转换为正弦波。频率计部分由时基电路、计数显示电路等构成,整形好的三角波或正弦波脉冲输入该电路,与时基电路产生的闸门信号对比送入计数器,最后由数码管可显示被测脉冲的频率。产生的3种波经过一个可调幅电路,由于波形不断变化,不能直接测出其幅值,得通过峰值检测电路测出峰值(稳定的信号幅值保持不变),然后经过数字电压表(由AD转换芯片CC7107和数码管等组成),可以数字显示幅值。 2.2设计方案及可行性 方案一:采用传统的直接频率合成器。首先产生方波—三角波,再将三角波变成正弦波。 方案二:采用单片机编程的方法来实现(如89C51单片机和D/A转换器,再滤波放大),通过编程的方法控制波形的频率和幅度,而且在硬件电路不变的情况下,通过改变程序来实现频率变换。 方案三:是利用ICL8038芯片构成8038集成函数发生器,其振荡频率可通过外加直流电压进行调节。 经小组讨论,方案一比较需要的元件较多,方案二超出学习范围,方案三中的芯片仿真软件中不存在,而且内部结构复杂,不容易构造,综合评定,最后选择方案一。 2.3系统功能块的划分 该系统应主要包括直流稳压电源,信号产生电路,频率显示电路和电压幅值显示电路四大部分。 直流稳压电源将220V工频交流电转换成稳压输出的直流电压,信号产生电路产生的信号,经过适当的整形,作为频率显示电路的输入,从而达到了数字显示频率的要求;产生的信号经过幅频显示部分(峰值检测电路和数模转换),便

相关主题
相关文档 最新文档