当前位置:文档之家› 键盘课程设计报告

键盘课程设计报告

键盘课程设计报告
键盘课程设计报告

课程名称EDA课程设计

课题名称键盘扫描与数码管

显示电路设计

专业电子科学与技术

班级0902班

学号05

姓名张泉良

指导教师陈意军

2012年06月20日

湖南工程学院

课程设计任务书

课程名称: EDA技术

题目:键盘扫描与数码管显示电路设计

专业班级:电子科学与技术

学号:200901180205

学生姓名:张泉良

指导老师:陈意军

审批:

任务书下达日期2012 年06月09日设计完成日期2012 年06月20日

引言 (2)

第一章总体方案设计分析 (2)

1.1基本设计思路 (2)

1.2总体框图 (3)

第二章子模块程序模块分析 (3)

2.1 键盘扫描程序设计与分析 (3)

2.1.1 基本设计思路 (3)

2.1.2键盘扫描、编码输出、消抖模块 (4)

2.2 加减功能模块程序与分析 (4)

2.2.1功能模块基本设计思路 (4)

2.2.2加减功能模块 (5)

2.3 数码管显示模块 (5)

2.3.1显示模块基本设计思路 (5)

2.3.2数码管显示模块 (5)

第三章子模块仿真图 (6)

3.1 键盘扫描模块仿真图 (6)

3.2防抖动电路仿真图 (7)

3.3加减法电路仿真图 (7)

3.4数码管电路仿真图 (8)

3.5总电路仿真波形图 (8)

第四章程序下载 (9)

4.1程序下载 (9)

第五章心得体会 (10)

附录A:系统硬件原理图 (11)

附录B:程序清单 (12)

附录C:参考文献 (21)

电气信息学院课程设计评分表 (22)

随着电子技术在工业、医疗、商业等领域的发展,人们对数据传输率的要求越来越高,传统的单片机早已不能满足这种高速数据传输的要求。与此同时,可编程器件FPGA/CPLD以其强大的功能,开发过程投资小、周期短,可反复编程修改,开发工具智能化等特点,成为当今硬件设计的潮流;相比而言,FPGA即现场可编程门阵列,它的集成度高,可完成极其复杂的时序与组合逻辑电路功能,更适用于告诉、高密度的高端数字逻辑电路设计领域。

近几十年来,可编程器件FPGA/CPLD成本大幅降低,且随着EDA技术的日益普及,FPGA/CPLD以其较好的集成度和稳定性、可编程实现与升级的特点,在电子设计领域得到了越来越多的应用。

本设计使用altera的芯片,开发过程中采用quartusII实现设计。基本流程为:首先根据设计任务要求进行方案的设计,包括引脚的确定、时序关系、功能框图和模块划分、数据处理流程和方法等;然后依据模块设计进行仿真,确定结果无误后进行布局和布线,生成配置文件;在下载前进行时序分析;最后下载、测试,从而完成设计。

第一章总体方案设计分析

1.1基本设计思路

本设计利用键盘扫描程序完成4*4键盘的扫描并编码输出按键值,然后对按键输出值进行消抖,以保证每次按键值都能准确无误的输出,同时利用加减功能模块对输入值进行简单的加法、减法操作,并将加减之后的结果转换成BCD码输出到显示控制模块,通过显示控制模块将加减后的结果显示在数码管上。

本设计对时钟的利用充分,通过同一个时钟使各个模块之间协同工作,充分利用时钟的上下边沿,使整个系统处于一种高速工作状态,以提高整个系统工作效率。

1.2总体框图

第二章子模块程序模块分析

2.1 键盘扫描程序设计与分析

2.1.1 基本设计思路

本模块采用行输入,列输出,并定义一个4进制计数信号,在时钟脉冲的上升沿输入时计数,利用4进制提供行扫描信号,在没有按键按下时,行扫描的输出信号变化顺序为0001→0010→0100→1000→……依次周而复始。

当有按键按下时,在时钟的下降沿延按键输出,同时将行扫描值与列输入值合并形成组合值作为按键的输入值,并对按键的输入值进行编码使其输出为4位二进制值。

按键时通常会造成持续时间不大于10ms的信号抖动,这种抖动使系统无法正确识别按键的操作次数,本系统的抖动消除电路使用一个16进制计数器,当输入值在计数16次的过程中没有变化时,则认为该值为一个正确的可识别的数值,同时输出该值。

2.1.2键盘扫描、编码输出、消抖模块

图中clkin为时钟脉冲输入,在该模块中利用时钟的上下边沿,使该工作模块更高效,keyin为按键输入值,keyvalueout为编码,消抖输出值。

2.2 加减功能模块程序与分析

2.2.1功能模块基本设计思路

本模块能完成简单的加、减操作和清0显示操作,由于该模块从键盘得到的编码值每次只能输入一个按键值,所以在加减法中首先用一个信号保存第一次输入的值,当输入的是加号或减号时,将第一次的输入值与‘0’组成组合值,可以当再次按键时,用另一个信号保存一个新的输入值,最后当“=”按下时两个输入值根据加减号所对应的编码执行将相加或相减,从组合值最高位可以得到加减后的结果的进位或借位,并通过对最高位和低四位的判断的判断,将最后结果转换成BCD码并输出输出到数码管显示。

2.2.2加减功能模块

图中diavaluein[3..0]为按键输入值,disvalueout0[3..0]为计算结果的十位值,disvalueout1[3..0]为个位值

2.3 数码管显示模块

2.3.1显示模块基本设计思路

每个数码管有8个段:h、g、f、e、d、c、b、a(dp是小数点)都连在一起,8个数码管分别由8个选通信号k1~k8来选择。被选通的数码管显示数据。例如,在某一时刻,k3为高电平,其余选通信号为低电平,这时仅k3对应的数码管显示来自段信号端的数据,而其他7个数码管呈现关闭状态。根据这种电路状况,如果希望在8个数码管显示希望的数据,就必须使得8个选通信号k1~k8分别被单独选通,与此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,根据人眼的视觉暂留原理,就能实现动态扫描显示的目的

2.3.2数码管显示模块

本次课程设计只用到了其中两个数码管,所以在BT中只需选择高两位显示即可,其他的可以不接。

第三章子模块仿真图

3.1 键盘扫描模块仿真图

3.3加减法电路仿真图

3.5总电路仿真波形图

第四章程序下载

4.1程序下载

首先启动软件quartusII,打开工程,配置引脚,按照配置好的引脚在试验箱上接线,然后下载。

程序下载后,按对应的按键将会有与之对应的数字(0-7)显示在数码管上,当按下数字键并显示后,再按功能键“清0”对应的输入将会被清除,同时数码管显示0;当按下功能键“+”或“-”后,继续按数字键,最后按下“=”,计算结果将会以十进制显示在数码管上,该模块中为使数码管扫描显示稳定,时钟脉冲可选择300—600左右即可。

4.2出现的问题及解决方法

在本次课程设计中,下载到试验箱后,出现按键多次跳动问题,是由于矩阵键盘的使用过程中没有消抖的原因。在程序中添加一个防抖动程序即可防止类似情况的发生。时钟频率的选择,由于本次课程设计只采用了一个时钟脉冲,所以在选择时钟脉冲时应当考虑数码管扫描的情况。

在做加减法操作时,无法显示正确的结果,是由于程序中的各种逻辑关系出现问题。

第五章心得体会

在这次EDA课程设计中,学到很多东西,不仅巩固了以前所学过的基础知识,而且对EDA硬件实验有了进一步的了解。

在这次课程设计中,通过对键盘控制电路的设计,使我了解键盘设计的基本方法,学到更多有关于电路方面的知识,把我们所学的数字电路这门课程应用到实际过程中来了,真正的将理论和实践联系在一起。更重要的是学到了用电脑软件实现电路原理的知识,用电脑的仿真方法使得设计更为简便,对于我们电子专业来说,这个课程设计是很有必要的。也提高了我们对实践操作和理论研究的兴趣。

通过这次课程设计使我懂得了理论知识与实际相结合的重要性,只有理论知识是远远不够的,只有两者相结合,才能提高自己的动手能力和独立思考的能力,才能发现在设计过程中所遇到的问题。同时也在实践与理论相结合的过程中,发现自身的不足之处,并加以学习和巩固。

总的来说,这次课程设计还是比较成功的,虽然遇到了很多问题,最后还是在老师的指导下,一一解答完成,本次课程设计充分锻炼了自己的能力,使自己对以后的路有了更加清除的认识,同时也为明年的毕业设计做一定的准备。

最后,对在本次课程设计中给过我帮助的陈老师表示感谢。

附录A:系统硬件原理图

附录B:程序清单

键盘行扫描输入程序

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity scan_key is

Port ( clkin : in STD_LOGIC; --扫描时钟,周期20ms keydrv : out STD_LOGIC_VECTOR(3 downto 0));--输出扫描信号

end scan_key;

architecture behavioral of scan_key is

signal count : std_logic_vector(1 downto 0):="00";--计数器信号

begin

process(clkin)

begin

if rising_edge(clkin) then

if count="11" then

count<="00";

else

count<=count+1;

end if;

end if;

end process;

process(count)

begin

case count is

when "00"=>keydrv<="1110";

when "01"=>keydrv<="1101";

when "10"=>keydrv<="1011";

when "11"=>keydrv<="0111";

when others =>keydrv<="0000";

end case;

end process;

end behavioral;

键盘编码程序

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity keydecoder is

Port ( clkin: in std_logic;

keyin : in STD_LOGIC_VECTOR (2 downto 0);

keydrv : in STD_LOGIC_VECTOR (3 downto 0);

keycode : out STD_LOGIC_VECTOR (3 downto 0)); end keydecoder;

architecture Rtl of keydecoder is

signal temp:STD_LOGIC_VECTOR (6 downto 0);

signal keyvalue1:STD_LOGIC_VECTOR (3 downto 0):="0000"; signal count:std_logic_vector(1 downto 0):="00";

--signal keypressed:boolean:=false;

--signal q1,q2,q3,q4:boolean;

begin

temp<=keydrv&keyin;

process(clkin)

begin

if falling_edge(clkin) then

if keyin="1111" then

if count="11" then

keyvalue1<="1111";

count<="00";

else

count<=count+1;

end if;

else

count<="00";

case temp is

when"1110011"=>keyvalue1<="0000";

when"1101011"=>keyvalue1<="0001";

when"1011011"=>keyvalue1<="0010";

when"0111011"=>keyvalue1<="0011";

when"1110101"=>keyvalue1<="0100";

when"1101101"=>keyvalue1<="0101";

when"1011101"=>keyvalue1<="0110";

when"0111101"=>keyvalue1<="0111";

when"1110110"=>keyvalue1<="1000";

when"1101110"=>keyvalue1<="1001";

when"1011110"=>keyvalue1<="1010";

when"0111110"=>keyvalue1<="1011";

when others =>keyvalue1<=keyvalue1;

end case;

end if;

end if;

end process;

keycode<=keyvalue1;

end rtl;

键盘消抖程序

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity Antiwitter is

Port ( clkin : in STD_LOGIC;

keyvaluein : in STD_LOGIC_VECTOR (3 downto 0);

keyvalueout : out STD_LOGIC_VECTOR (3 downto 0)); end Antiwitter;

architecture Behavioral of Antiwitter is

signal TempNum:std_logic_vector(3 downto 0);

signal Counter:std_logic_vector(3 downto 0);

signal Start:std_logic;

begin

process(clkin)

begin

课程设计报告模板)

课程设计报告模板()

————————————————————————————————作者: ————————————————————————————————日期: ?

课程设计(论文)任务书 软件学院软件+电商专业09级(2)班 一、课程设计(论文)题目基本模型机设计与实现 二、课程设计(论文)工作自2011年6月 20 日起至2011年 6月 24日止。 三、课程设计(论文) 地点:计算机组成原理实验室(5#301) 四、课程设计(论文)内容要求: 1.课程设计的目的 通过课程设计的综合训练,在掌握部件单元电路实验的基础上,进一步掌握整机 概念。培养学生实际分析问题、解决问题和动手能力,最终目标是想通过课程设计的形式,帮助学生系统掌握该门课程的主要内容,更好地完成教学任务。 2.课程设计的任务及要求 1)基本要求? (1)课程设计前必须根据课程设计题目认真查阅资料; (2)实验前准备好实验程序及调试时所需的输入数据; (3)实验独立认真完成; (4)对实验结果认真记录,并进行总结和讨论。 2)课程设计论文编写要求 (1)按照书稿的规格撰写打印课设论文 (2)论文包括目录、绪论、正文、小结、参考文献、附录等 (3)正文中要有问题描述、实验原理、设计思路、实验步骤、调试过程与遇到问题的解决方法、总结和讨论等 (4)课设论文装订按学校的统一要求完成 3)课设考核 从以下几方面来考查:

(1)出勤情况和课设态度; (2)设计思路; (3)代码实现; (4)动手调试能力; (5)论文的层次性、条理性、格式的规范性。 4)参考文献 [1]王爱英.计算机组成与结构[M]. 北京:清华大学出版社, 2007. [2] 王爱英. 计算机组成与结构习题详解与实验指导[M]. 北京:清华大学出版社, 2007. 5)课程设计进度安排 内容天数地点 构思及收集资料1图书馆 实验与调试 3 实验室 撰写论文 1 图书馆 6)任务及具体要求 设计实现一个简单的模型机,该模型机包含若干条简单的计算机指令,其中至少包括输入、输出指令,存储器读写指令,寄存器访问指令,运算指令,程序控制指令。学生须根据要求自行设计出这些机器指令对应的微指令代码,并将其存放于控制存储器,并利用机器指令设计一段简单机器指令程序。将实验设备通过串口连接计算机,通过联机软件将机器指令程序和编写的微指令程序存入主存中,并运行此段程序,通过联机软件显示和观察该段程序的运行,验证编写的指令和微指令的执行情况是否符 合设计要求,并对程序运行结果的正、误分析其原因。 学生签名: 亲笔签名 2011年6月20 日 课程设计(论文)评审意见 (1)设计思路:优( )、良()、中( )、一般()、差( ); (2)代码实现:优()、良()、中()、一般()、差();

课程设计报告【模板】

模拟电子技术课程设计报告设计题目:直流稳压电源设计 专业电子信息科学与技术 班级电信092 学号 200916022230 学生姓名夏惜 指导教师王瑞 设计时间2010-2011学年上学期 教师评分 2010年月日

昆明理工大学津桥学院模拟电子技术课程设计 目录 1.概述 (2) 1.1直流稳压电源设计目的 (2) 1.2课程设计的组成部分 (2) 2.直流稳压电源设计的内容 (4) 2.1变压电路设计 (4) 2.2整流电路设计 (4) 2.3滤波电路设计 (8) 2.4稳压电路设计 (9) 2.5总电路设计 (10) 3.总结 (12) 3.1所遇到的问题,你是怎样解决这些问题的12 3.3体会收获及建议 (12) 3.4参考资料(书、论文、网络资料) (13) 4.教师评语 (13) 5.成绩 (13)

昆明理工大学津桥学院模拟电子技术课程设计 1.概述 电源是各种电子、电器设备工作的动力,是自动化不可或缺的组成部分,直流稳压电源是应用极为广泛的一种电源。直流稳压电源是常用的电子设备,它能保证在电网电压波动或负载发生变化时,输出稳定的电压。一个低纹波、高精度的稳压源在仪器仪表、工业控制及测量领域中有着重要的实际应用价值。 直流稳压电源通常由变压器、整流电路、滤波电路、稳压控制电路所组成,具有体积小,重量轻,性能稳定可等优点,电压从零起连续可调,可串联或关联使用,直流输出纹波小,稳定度高,稳压稳流自动转换、限流式过短路保护和自动恢复功能,是大专院校、工业企业、科研单位及电子维修人员理想的直流稳压电源。适用于电子仪器设备、电器维修、实验室、电解电镀、测试、测量设备、工厂电器设备配套使用。几乎所有的电子设备都需要有稳压的电压供给,才能使其处于良好的工作状态。家用电器中的电视机、音响、电脑尤其是这样。电网电压时高时低,电子设备本身耗供电造成不稳定因家。解决这个不稳定因素的办法是在电子设备的前端进行稳压。 直流稳压电源广泛应用于国防、科研、大专院校、实验室、工矿企业、电解、电镀、充电设备等的直流供电。 1.1直流稳压电源设计目的 (1)、学习直流稳压电源的设计方法; (2)、研究直流稳压电源的设计方案; (3)、掌握直流稳压电源的稳压系数和内阻测试方法。 1.2课程设计的组成部分 1.2.1 设计原理

网站课程设计报告

第1节课程设计题目及背景 (3) 1.1 设计题目 (3) 1.2 设计背景 (3) 第2节网站设计的开发工具 (3) 第3节网站建设过程 (4) 3.1 网站系统分析 (4) 3.2 数据库的设计 (5) 3.3 功能模块介绍 (8) 第4节网站工作流程 (11) 4.1 连接数据库 (11) 4.2 用户登录 (12) 4.3 歌曲显示,以最新歌曲为例 (12) 4.4 音乐搜索 (12) 第5节网站显示效果 (15) 5.1 网站主页 (15) 5.2 歌曲分类页面 (16) 5.3 音乐搜索页面 (17) 5.4 用户留言页面 (17) 5.5 音乐播放器页面 (18) 5.6 后台管理主页面 (19) 5.7 用户管理页面 (19) 第6节总结和设计体会 (21)

第1节课程设计题目及背景 1.1 设计题目 构架一个音乐网站,要求网站必须包含音乐网站的一些基本功能,即前台的用户申请登陆功能,听歌功能,音乐搜索,音乐收藏功能,提交留言和后台的用户管理,歌曲管理,留言管理,系统管理。 1.2 设计背景 在互联网发展迅猛的今天,我们无时无刻不与网络接触,可以从中查找我们需要的信息,可以发布想让别人知道的信息,可以找到你不认识但真心的朋友,也可以第一时间看到国内外的重大事件。当我们无事可做的时候,便开始了娱乐方式的搜索,由此,很多音乐网站和视频网站应运而生,服务大家,为我们的生活增添了色彩。随着我国网民的增加,也促进了音乐网站的开发。 第2节网站设计的开发工具 2.1 Dreamweaver 8.0 2.2 Flash 8.0 2.3 Firework 8.0 2.4 Access数据库 2.5 IIS服务器 说明:Dreamweaver用于网站的开发,Flash用于网站flash 效果的制作,firework对图片进行处理,IIS作为我们的asp 网站服务器,数据库则采用微软的Access数据库。 第3节网站建设过程

城市轨道交通课程设计报告很齐全很完整的课程设计

城市轨道交通课程设计报告很齐全很完整的课 程设计 文档编制序号:[KKIDT-LLE0828-LLETD298-POI08]

轨道交通课程设计报告指导老师:江苏大学武晓辉老师 一、项目背景及镇江市轨道交通建设必要性 镇江市位于北纬31°37′~32°19′,东经118°58′~119°58′,地处长江三角洲地区的东端,江苏省的西南部,北临长江,与扬州市、泰州市隔江相望;东、南与常州市相接;西邻南京市。镇江市域总面积3847平方公里,总体规划定位城市性质为国家历史文化名城,长江三角洲重要的港口、风景旅游城市和区域中心城市之一。 2005年,镇江城市总体规划进入实施阶段,城市空间布局将极大突破现有形态,“扩充两翼、向南延伸”成为城市新的发展方向。伴随城市化进程加快、镇江跨入特大城市行列,城市空间的拓展对城市交通体系提出了新的要求。镇江市为江苏省辖地级市,现辖京口、润州、丹徒三区,代管句容、丹阳、扬中三市。另有国家级经济技术开发区-镇江新区行使市辖区经济、社会管理权限。镇江全市总面积3848平方公里,人口311万人,市区户籍人口万人市,市区常住人口万人,人民政府驻润州区南徐大道68号。 内部城市空间结构调整:2005年,镇江城市总体规划进入实施阶段,城市空间布局将极大突破现有形态,“扩充两翼、向南延伸”成为城市新的发展方向。伴随城市化进程加快、镇江跨入特大城市行列,城市空间的拓展对城市交通体系提出了新的要求,建设轨道交通是未来城市规划的必然结果。 城市化发展水平规划: 近期(2000-2010):城市化水平达到:55% 城镇人口162万

中期(2010-2020):城市化水平达到:60% 城镇人口184万 远期(2020-2050):城市化水平达到:70% 城镇人口231万 城市等级规模规划: 中期:形成1个大城市,1个中等城市,2个小城市和38个小城镇的等级结构。 远期:形成1个特大城市,2个中等城市,1个小城市和27个小城镇的等级结构。 镇江位于南京都市圈核心层,是一座新兴工业城。镇江拥有2个国家级开发区、6个省级开发区、5个国家级高新技术产业基地,镇江市的经济发展水平居江苏省中等偏上水平。2013年实现国内生产总值亿元,完成公共财政预算收入亿元,城镇居民人均可支配收入32977元,农民人均纯收入16258元,增长%,;人均GDP73947元,居江苏省第5名。“三次产业”分别实现增加值亿元、亿元、亿元,同比分别增长%、%和%。 镇江高新区位于我市主城区的西部,晋升为国家级高新区后,就与东部的镇江国家级经济技术开发区(镇江新区)形成“两翼”,在提升经济体量的基础上,进一步提升经济质量,形成主城区“一体两翼”格局。同时,与苏南现代化示范区建设、国家自主创新示范区创建等重大机遇形成叠加优势。 经济发展规划: 近期:人均GDP达到万元,产业结构为∶∶41,财政总收入完成80亿元以上 中期:人均GDP达到6万元,产业结构为∶∶44,财政总收入150亿元以上。

网页设计课程设计报告

课程设计 课程名称:HTML5开发技术课程设计 专业班级:计科1201 学生姓名:伍志强 学号: 201216010506 指导教师:刘宏月 学期:2013-2014学年第一学期

HTML5开发技术专业课程设计任务书 说明:本表由指导教师填写,由教研室主任审核后下达给选题学生,装订在设计(论文)首页

1 需求分析 本课程设计为一个商业网站,大的框架为整个网站分为5个页面,分别为:主页面、公司的业务面,公司产品问题咨询面,公司产品的技术支持面,校园招聘界面。各个页面间都是相互连接的,方便读者更快捷地找到想了解的信息。在每个界面拥有的公司logo ,登陆界面,和一些视频的宣传和校园招聘网页的背景音乐,友情链接,插入的各种静动态图片,搜索栏。每个界面链接的公司的最新各种动态,和基本的各种文字说明,采用的是中英双板模式书写。 网站的目录结构如下: 伍志强------网站根目录 Css ------存放外置CSS文件 Images ------存放图片文件 Music ------存放背景音乐 JS------存放的javascript代码 .project------编译时建立的一个工程 Index系列------存放的网站的各个网页 2 概要设计

3 详细设计 页面的布局和风格 DIV+CSS的基本过程是先布局,对网页进行总体设计,再设计内容,对布局的每一部分进行设计。 DIV+CSS对内容的设计也体现内容和表现相分离的思想。对内容的表现的描述都在CSS中,内容可以应用CSS样式,不需要额外的HTML标签进行内容的修饰。 CSS网页布局的原理,就是按照HTML5代码中对象声明的 body { background:#131b20; font-family:Arial, Helvetica, sans-serif; font-size:100%;

课程设计报告(模板)

《地震勘探课程设计》 报告 院系 班级 学生 学号 指导教师 完成日期2014年3月12日 长江大学工程技术学院

目录 一、课程设计目的 (3) 二、课程设计的容 (3) 三、课程设计原理 (3) 四、工区数据 (4) 五、课程设计步骤 (5) 1、建立工区 (5) 2、资料加载 (8) 3、层位标定和层位追踪 (10) 4、断层解释 (13) 5、构造图绘制 (14) 六、心得体会 (15)

一、课程设计目的 地震勘探解释课程设计是我们勘查技术与工程专业和资源勘查工程专业教学中的一个重要的实践性训练环节,通过上机实际操作,训练我们对地震资料进行常规构造解释的实际能力,最终使我们达到:学会利用地震解释软件来进行地震数据的加载,地震层位的标定,地震层位的追踪对比,在地震资料上分析和解释各种断层,以及地震构造图的编制方法。同时,还要学会综合地震地质资料对构造解释结果进行分析,进而对含油气有利地带进行评价和预测,最终编制成果报告。 二、课程设计的容 本次课程设计是理论联系实际的具体表现,是培养学生分析问题、解决问题能力的一个必不可少的环节,主要分为两部分:一、通过对地震资料解释软件Discovery的使用,追踪解释层位数据;二、通过surfer软件学习成图。使学生对地震常用的解释软件有一个初步的认识,能为毕业后从事地震勘探工作奠定良好的基础。地震解释课程设计是勘查技术与工程专业教学中的一个重要的实践性训练环节。通过实验主要训练学生对地震资料进行常规构造解释的实际能力,具体要使学生达到: 1.了解人机联作的基本知识; 2.初步学会地震解释软件的操作流程(工区建立、资料加载、合成记录制作、层位标定、层位追踪、断层解释、断点组合); 3. 进一步巩固和掌握地震资料解释的基本功; 4.初步学会地震成果的地质分析; 5.初步学会编写地震资料解释文字报告;

WEB课程设计报告

Web开发技术课程设计题目:学生信息管理系统 院系:软件工程 班级学号:软件13 -1(21,19) 姓名:唐波 同组成员:史伟良 指导教师:王双利 2014 年12 月12 日

Web开发技术课程设计任务书 一、题目:学生信息管理系统 二、设计要求 (1)史伟良负责设计与实现管理系统登陆界面;唐波负责设计与实现管理系统增删改查界面。 (2)查阅相关资料,自学具体课题中涉及到的新知识。 (3)采用结构化、模块化程序设计方法,功能要完善,具有一定的创新。 (4)所设计的程序有输入、输出。 (5)按要求写出了课程设计报告,于设计结束后2天提交了。其主要内容包括:封皮、课程设计任务书,指导教师评语与成绩、目录、概述、软件总体设计、详细设计、软件的调试、总结、致谢、附录(带中文注释的程序清单)、参考文献。总体设计应配合软件总体模块结构图来说明软件应具有的功能;详细设计应用传统或N-S流程图和屏幕抓图说明;调试的叙述应配合出错场景的抓图来说明出现了哪些错误,如何解决的。 三、课程设计工作量 一般每人的程序量在200行有效程序行左右,不得抄袭。 四、课程设计工作计划 2014年12月8日,指导教师讲解布置题目,学生根据题目准备资料; 2014年12月8日,进行总体方案设计; 2014年12月8日~2014年12月10日,完成程序模块并通过独立编译; 2014年12月10日~2014年12月11日,将各模块集成为一完整的系统, 并录入足够数据进行调试运行; 2014年12月11日~2014年12月12日,验收、撰写课程设计报告。 指导教师签章: 专业主任签章:

Web开发技术课程设计指导教师评语与成绩

课程设计报告参考模板

课程设计报告参考模板 河海大学计算机及信息工程学院 课程设计报告 题目专业、学号授课班号学生姓名指导教师完成时间 课程设计任务书 Ⅰ、课程设计题目: Ⅱ、课程设计工作内容 一、课程设计目标1、培养综合运用知识和独立开展实践创新的能力; 2、…… 二、研究方法及手段应用 1、将任务分成若干模块,查阅相关论文资料,分模块调试和完成任务; 2、…… 三、课程设计预期效果 1、完成实验环境搭建; 2、…… 学生姓名:专业年级: 目录空一行。空一个中文字符行。“目录”,分页,居中,加黑宋体二号。前言………………………………………………………………………………………………1 第一章系统设计………………………………………………………………

…………………2 第一节课题目标及总体方案…………………………………………………………………..2 ……………… 目录正文,宋体小四号,倍行距。第二节…………………………….. ………………… 第二章实验结果及讨论 (5) ……………… 第三章结论 (10) ……………… 心得体会 (42) 河海大学本科课程设计报告 1、移动台 MS 二级标题“1、”,左对齐,加黑宋体小三号。移动台是公用GSM移动通信网中用户使用的设备,……………… NMCDPPSPCSSEMC OSSOMCMBTSSBTSBSCHLR/ MSC/VLRAUC BSSEIR NSSPSTNISDNPDN 图 GSM系统

组成 2、基站子系统 BSS 图编号及图名“图”,位于图下,居中。基站子系统BSS是GSM系统实现无线通信的关键组成部分。它通过无线接口直接与移动台通信,进行无线发送、无线接收及无线资源管理。另一方面,它通过与网络子系统NSS的移动业务交换中心,………………。 ⑴、基站收发信台 BTS 三级标题“⑴、”,左对齐,加黑宋体四号。基站收发信台BTS属于基站子系统BSS的无线部分,………………。①收发信台组成四级标题“①”,左对齐,加黑宋体小四号。 BTS包含有若干个收发信息单元TRX,而一个TRX有八个时隙,………………。●收发信息单元 五级标题“●”,左对齐,加黑宋体小四号。收发信息单元是………………。●其它辅助单元 辅助单元包括………………。②收发信台作用 收发信台的主要作用有………………。 ⑵、基站控制器 BSC 基站控制器BSC是基站子系统BSS的控制部分,………………。 3、网络交换子系统 NSS - 4 - 河海大学本科课程设计报告

城市轨道交通课程设计报告很齐全很完整的课程设计

城市轨道交通课程设计报告很齐全很完整的课程设计

轨道交通课程设计报告 指导老师:江苏大学武晓辉老师 一、项目背景及镇江市轨道交通建设必要性 镇江市位于北纬31°37′~32°19′,东经118°58′~119°58′,地处长江三角洲地区的东端,江苏省的西南部,北临长江,与扬州市、泰州市隔江相望;东、南与常州市相接;西邻南京市。镇江市域总面积3847平方公里,总体规划定位城市性质为国家历史文化名城,长江三角洲重要的港口、风景旅游城市和区域中心城市之一。 ,镇江城市总体规划进入实施阶段,城市空间布局将极大突破现有形态,“扩充两翼、向南延伸”成为城市新的发展方向。伴随城市化进程加快、镇江跨入特大城市行列,城市空间的拓展对城市交通体系提出了新的要求。镇江市为江苏省辖地级市,现辖京口、润州、丹徒三区,代管句容、丹阳、扬中三市。另有国家级经济技术开发区-镇江新区行使市辖区经济、社会管理权限。镇江全市总面积3848平方公里,人口311万人, 市区户籍人口103.3万人市, 市区常住人口122.37万人,人民政府驻润州区南徐大道68号。

内部城市空间结构调整:,镇江城市总体规划进入实施阶段,城市空间布局将极大突破现有形态,“扩充两翼、向南延伸”成为城市新的发展方向。伴随城市化进程加快、镇江跨入特大城市行列,城市空间的拓展对城市交通体系提出了新的要求,建设轨道交通是未来城市规划的必然结果。 城市化发展水平规划: 近期( - ):城市化水平达到:55% 城镇人口162万 中期( -2020):城市化水平达到:60% 城镇人口184万 远期(2020-2050):城市化水平达到:70% 城镇人口231万 城市等级规模规划: 中期:形成1个大城市,1个中等城市,2个小城市和38个小城镇的等级结构。 远期:形成1个特大城市,2个中等城市,1个小城市和27个小城镇的等级结构。 镇江位于南京都市圈核心层,是一座新兴工业城。镇江拥有2个国家级开发区、6个省级开发区、5个国家级高新技术产业基地,镇江市的经济发展水平居江苏省中等偏上水平。实现国内生产总值2927.09亿元,完成公共财政预算收入245.52亿元,城镇居民人均可支配收入32977元,农民人均纯收入16258元,增长18.1%,;人均GDP73947元,居江苏省第5名。“三次产业”分

土木工程课程设计报告

页眉 土木工程课程设计 学院:建筑工程学院 1142 土木班级: 号:1141401227 学 秦齐姓名: 陈宝海指导老师:

页脚 页眉 目录 1. 工程概况 施工方案2. 3. 施工准备工作计划 4. 资源需要量计划 施工准备工作计划5. 6. 施工现场平面布置主体分部分项工程 7. 附图: 施工平面布置图一、 施工进度计划图二、

页脚 页眉 1.工程概况 本工程为某高级中学综合楼,位于解放路。采用现浇柱,预制梁,整体装配式钢筋混凝土框架结构。 1.1 层高及建筑面积:总共六层,顶高23.1m,1-2层层高4.8m,3、4、5层层高为3.3m,6层层高为3.6m。总建筑面积7834m。21.2 绝对标高:±0.00相当于绝对标高425.044。 1.3 结构方案:本工程为装配整体式框架结构,横向框架梁为预制迭合梁,纵向框架梁,次梁,柱,楼梯等均为现浇。楼盖除厕所、盥洗、水箱间及二层售饭处为现浇外,其余均为预制空心板,上有4cm整浇层。墙体为非承重墙,外墙为240m厚普通粘土砖墙,内墙为大孔空心砖墙。施工时横向预制梁吊装后再现浇纵向框架梁和次梁。 1.4 楼地面:水泥砂浆地面用于厨房和库房,教室宿舍等。水磨石地面用于上述以外的其它部位,底层地面垫层为60厚100素混凝土。#1.5 顶棚及墙面:楼梯间为石膏板隔墙,贴白色塑料壁纸。其它顶棚及墙面均为石灰砂浆打底,纸筋灰罩面,喷白灰浆二道。 1.6 外墙面:为绿色水刷石,局部构件(檐口、阳台、雨蓬)及凸出墙面壁柱等贴马赛克。1.7屋面防水层:沥青胶隔汽层,水泥蛭石保温层,二毡三油防水层上铺绿豆砂。 二、施工方案

课程设计报告模版

课程设计报告模版

《城市排水处理》 课程设计报告 系别:城市建设系 专业班级:给水排水0601班 学生姓名: 指导教师:段泽琪 (课程设计时间: 6月15日—— 6月19日) 华中科技大学武昌分校

目录 1.课程设计目的 (1) 2.课程设计题目描述和要求 (1) 3.课程设计报告内容 (3) 3.1污水处理工艺方案比较 (3) 3.2主要污水处理构筑物选型 (6) 3.3污水处理构筑物的主要设计参数 (7) 3.4污水处理辅助构筑物设计 (8) 3.5污水处理厂平面布置设计 (8) 3.6 污水处理厂高程布置设计 (9) 3.7 设计计算………………………………………………………………………

10 4.总结……………………………………………………………………………页码 参考文献…………………………………………………………………………页码 (要求:目录题头用三号黑体字居中书写,隔行书写目录内容。目录中各级题序及标题用小四号黑体)

1. 课程设计目的 (1) 经过污水处理厂课程设计,巩固学习成果,加深对《水污染控制》课程内容的学习与理解,使学生学习使用规范、手册与文献资料,进一步掌握设计原则、方法等步骤,达到巩固、消化课程的主要内容; (2) 锻炼独立工作能力,对污水处理厂的主体构筑物、辅助设施、计量设备及污水厂总体规划、管道系统做到一般的技术设计深度,培养和提高计算能力、设计和绘图水平; (3) 在教师指导下,基本能独立完成一个中、小型污水处理厂工艺设计,锻炼和提高学生分析及解决工程问题的能力。 2.课程设计题目描述和要求 2.1 设计题目描述 (1) 设计题目 某城市污水处理厂工艺初步设计。 (2) 设计内容 根据任务书所给定的资料,综合运用所学的基础、专业基础和专业知识,设计一个中小型污水处理厂。 ①确定污水处理方法和工艺流程; ②选择各种处理构筑物形式,并进行工艺设计计算(计算书中要附计算草图); ③估算各辅助构筑物的平面尺寸; ④进行污水厂平面布置和高程布置。

软件测试课程设计报告(模板)

课程设计 课程名称软件测试技术题目名称图书系统软件测试专业班级软件工程 学生姓名 学号 指导教师褚伟 二○一六年五月二十四日

目录 1.测试需求分析 (3) 1.1系统概述 (4) 1.2测试需求 (4) 2. 测试概要 (5) 3.测试计划 (5) 3.1测试方案的选择 (5) 3.2测试方案: (7) 3.3测试项目 (7) 3.4测试准备 (7) 3.5 测试覆盖率要求 (7) 4.测试项目说明 (8) 4.1测试项目名称及测试内容 (8) 4.2测试用例 (9) 5.对软件功能的结论 (24) 5.1功能1(系统登录) (24) 5.2功能2(图书管理测试) (24) 5.3功能3(图书查询测试) (24) 5.4功能4(系统管理测试) (24) 5.5功能5(借书测试) (24) 5.6功能6(还书测试) (25) 6.测试评价与结论 (25) 6.1能力 (25) 6.2缺陷和限制 (25) 6.3建议 (25) 7.总结 (26) 8.参考资料 (27)

摘要(中英文)

1.测试需求分析 1.1系统概述 本图书管理系统是一款功能非常强大的图书管理软件,本系统在继承了以往系统版本优点的基础上做了进一步优化;在功能上,本系统不仅包含图书管理的常用功能(如书籍管理、期刊管理、物品管理、读者管理、借、还、预借、续借和统计分析等等功能),而且还增加了条码的生成和打印功能(不仅为使用者省去了购买价格昂贵的条码专用打印机的费用,而且条码产生更方便,与系统结合更紧密)。 考虑到很多单位和学校有现成的身份IC卡(校园卡、会员卡等),为了有效的利用这些已有资源,让使用者使用更方便,我们特在系统中加入了会员卡管理功能,这样,图书管理员不仅可以通过读者编号进行借阅操作,也可以通过已有的身份卡(配合刷卡机或者条码扫描抢使用)来完成操作;在系统的办卡管理中有新办卡、换卡和注销卡等功能,彻底解决丢卡后的安全隐患问题(向制卡公司定制卡时,一般会要求每张卡的ID号都不同,所以一旦换卡了,原来的会员卡就作废了,即使丢失卡被别人捡到也不能进行正常的借阅操作)。 本系统具有操作简单,易学易用的特点。在开发过程中,我们总结了多年使用电脑管理图书馆业务的经验,注意到工作人员在使用电脑时容易发生的人为错误,因而使系统具有较强的容错和排错功能,而且本系统自带了一些常用的资料库(如中图分类库,出版社库等,系统会自动根据图书的标准ISBN码检索出当前图书的出版社名称和出版地点等,从而实现图书的自动录入的功能),使得用户在录入图书资料时更轻松;系统也自带了通用数据导入功能,可以非常简单地把用户以前的已有资料或者通过采集器采集到的数据资料导入到本系统中,避免了大量的重复劳动。经过长时间的不断测试和完善,系统的安全性和稳定性得到保证。 本系统完全可以配合条码扫描枪使用,操作会更流畅,更简单。 技术简介:本系统采用Adaptive Server Anywhere数据库、C/S结构,完全支持多用户操作;可运行于 Windows9x/WindowsNT/2000/Xp/2003平台,有良好的兼容性、先进性与扩充性;可在线升级。 系统特点:操作简单、界面清晰、功能强大、运行稳定快速、系统资源占用少。 1.2测试需求 本次测试针对开发的图书馆管理系统进行,包括功能测试,界面测试,图书

CAD课程设计报告

XXX课程标准 盐城工学院 《电子线路CAD》课程设计报告 设计题号:第五题 姓名:邓钟鸣 学院:信息工程学院 专业:电科 班级:141 页脚内容1

学号:33 日期2016年12月26日——2017年1月13日指导教师:曹瑞、朱明 页脚内容2

目录 一、摘要 (1) 二、设计的任务与要求 (1) 三、软件介绍 (1) 四、画图的步骤 (3) 五、设计总结 (20) 六、参考文献 (21) 附录: 附录1.原理图 附录2.PCB图 页脚内容1

页脚内容2

一、摘要 电子线路CAD是从实用角度出发,详细介绍了Altium Designer的实用功能,可以引导读者轻松入门,快速提高。全面介绍了Altium Designer的界面、基本组成及使用环境等,并详细讲解了电路原理图的绘制、元件设计、印制电路板图的基本知识、印制电路板图设计方法及操作步骤等,详细讲解了电路从电路原理图设计到印制电路板图输出的整个过程。 关键词:Altium Designer软件;电路原理图设计;电路板; 二、设计的任务与要求 1.锻炼学生将理论用于实际和动手的能力以及更熟练的使用Altium Designer软件 2.使学生学会绘制电路原理图、电路查错、仿真、PCB(Printed Circuit Board,印刷电路板)设计 3、掌握元件封装的方法 意义:通过这次Altium Designer期末考试以及报告的设计,提高思考能力和实践能力。同时通过本课题设计,巩固已学的理论知识,建立逻辑数字电路的理论和实践的结合,了解各单元电路之间的关系及相互影响,从而能正确设计、计算各个单元电路。而且更加掌握的Altium Designer该软件的使用,对原理图的绘制和PCB的布局以及电路的仿真都有了进一步的理解。 三、软件介绍 页脚内容1

课程设计报告与开发

任务导向式课程设计与开发方法培训 解决任何问题的核心是:学习成长改变 学习:要有终身学习的能力,也就是学力 成长:当你的成长速度跟不上爱人时,婚姻就出现问题 当你的成长速度跟不上学生时,教育就出现问题 当你的成长速度跟不上老板时,工作就出现问题 当你的成长速度跟不上下级时,管理就出现问题 当你的成长速度跟不上市场时,公司就出现问题 改变:改变才是最伟大的历练。 情商和平台:一根绳子可以卖五块,但绑在螃蟹上就值五十块,这就是平台。想成功先发疯,头脑简单往前冲。 进入课程: 小组讨论: 问题:任务完成过程中的知识点如何体现? 开发目标 信息化 标准定位 到底对学生有没有帮助? 一、课程开发是什么? 开发一门课,包含什么 为什么有这么多问题出现?

1.没有统一的方法论。 2.每一个任课教师永远站在局部,不知专业标准是什么。 3.其实课程开发的能力是教育部对每一个教师的要求。 二、为什么是企业的人来分享职业教育课程设计与开发? 1.我国职业教育面临的挑战 一是高校专业设置,人才培养方向与市场需求变化不同步二是毕业生毕业找不工作,企业找不到人 2.中国经济发展的机遇与挑战 无法支撑整个经济的转型, 3.面对新机遇,我们能做些什么 三个对接:专业设置与产业需求、课程内容与职业 行为的改变是达成业务结果的fcwt 三、基于行为改变的课程设计开发模型--MADDIE Management课程开发项目管理 Analyses需求分析 design课程架构设计 deveiopment课程内容开发 Evaluation课程评估

提问:MADDIE 课程设计开发方法论的好处是什么? 一是提高课程质量;二是减轻开发难度;三是缩短开发周期;四是降低教学难度。 小结:概念;意义和好处。 四、课程开发项目管理 提问:在课程开发项目启动阶段,你曾经遇到哪些问题? 做好统筹规划, 做好三件核心事:A 需求分析、D 课程架构设计、D 课程内容开发。 课程开发项目管理 团队、时间和预算是三个要素 (一)课程开发计划 团队、时间和预算 团队: 1.需要什么角色的人(项目经理、教学设计者、业务内容专家、图形美化师、文档开发者、种子讲师);

单片机课程设计报告模板

单片机系统课程设计报告 专业:自动化 学生姓名: 学号: 指导教师: 完成日期:2011 年 3 月17 日

目录 1 设计任务和性能指标 (3) 1.1设计任务............................................................................ 错误!未定义书签。 2 设计方案 (4) 2.1任务分析 (4) 2.2方案设计 (4) 3 系统硬件设计 (5) 3.1时钟的电路设计 (5) 3.2复位电路设计 (5) 3.3灯控电路设计 (5) 3.4倒计时电路设计 (6) 3.5按键控制电路设计 (7) 4 系统软件设计 (8) 4.11秒定时 (8) 4.2定时程序流程 (8) 4.3交通灯的设计流程图 (9) 4.4定时器0与中断响应 (10) 5 仿真及性能分析 (10) 5.1仿真结果图 (11) 5.2仿真结果与分析 (12) 6 心得体会 (13) 参考文献 (14) 附录1 系统原理图 (15) 附录2 系统PCB图 .................................................................. 错误!未定义书签。附录3 程序清单 (17)

1.1设计任务 利用单片机完成交通信号灯控制器的设计,该交通信号灯控制器由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。如图5.1所示。设东西向为主干道,南北为支干道。 图5.1 交通灯示意图 1. 基本要求 (1) 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干 道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (2) 主、支干道均有车时,两者交替允许通行,主干道每次放行30秒, 支干道每次放行20秒,设立30秒、20秒计时、显示电路。 (3) 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。 黄灯亮时,原红灯按1Hz 的频率闪烁。 (4) 要求主支干道通行时间及黄灯亮的时间均可在0~99秒内任意设置。 2. 选做 (1) 可设置紧急按钮,在出现紧急情况时可由交警手动实现全路口车辆 禁行而行人通行状态,即主干道和支干道均为红灯亮。 (2) 实现绿波带。所谓‘绿波带’,是指在一定路段,只要按照规定时速, 就能一路绿灯畅行无阻。“绿波带”将根据道路车辆行驶的速度和路口间的距离,自动设置信号灯的点亮时间差,以保证车辆从遇到第一个绿灯开始,只要按照规定速度行驶,之后遇到的信号灯将全是绿灯。 南 北 东 西

课程设计报告完整电子版模板

武汉东湖学院计算机科学学院课程设计报告 课程名称:数据库原理课程设计 题目: 专业班级: 学号: 学生姓名: 指导教师:谭玲丽 2016 年 5 月 12 日

课程设计任务书 (由指导教师填写) 课程名称:数据库原理课程设计 设计题目: 专业:计算机科学班级: 完成时间:2016.5.12-2016.6.14 指导教师:谭玲丽专业负责人:

课程设计成绩评价表 指导教师:年月日

数据库原理课程设计 目录 1 需求分析............................................................................................................... n 1.1 需求概述 ................................................................................................... n 1.2 功能简介 ................................................................................................... n 2 数据库概念结构设计 .......................................................................................... n 2.1 确定联系集.......................................................................................................... n 2.2 局部E-R图 .......................................................................................................... n 2.3总E-R图 ............................................................................................................... n 3 数据库逻辑结构设计阶段 ......................................................................................... n 3.1关系模式的转换................................................................................................... n 3.2模式求精(规范化过程)................................................................................... n 4 数据库物理设计........................................................................................................... n 4.1数据库物理结构................................................................................................... n 4.2数据表存放位置、系统配置............................................................................... n 5 数据库的实施和维护 .................................................................................................. n 5.1 定义...................................................................................................................... n 5.1.1 数据库的定义 ........................................................................................... n 5.1.2 表的定义 ................................................................................................... n 5.2 数据操作.............................................................................................................. n 5.2.1 单表查询 ................................................................................................... n 5.2.2 连接查询 ................................................................................................... n 5.2.3 操作结果集查询 ....................................................................................... n 5.2.4 嵌套查询 ................................................................................................... n 5.3 数据库更新操作.................................................................................................. n 5.3.1 插入数据 ................................................................................................... n 5.3.2 修改数据 ................................................................................................... n 5.3.3 删除数据 ................................................................................................... n 5.4 为数据库建立索引.............................................................................................. n 5.4.1 索引的建立 ............................................................................................... n 5.4.2 索引的删除 ............................................................................................... n 5.5 数据库的安全性(自主存取控制)........................................................................ n 5.5.1 登录帐户管理 ........................................................................................... n 5.5.2 用户权限管理 ........................................................................................... n 5.6 数据库的完整性.................................................................................................. n 5.6.1 实体完整性定义 ....................................................................................... n 5.6.2 参照完整性定义 ....................................................................................... n 5.6.2 用户自定义完整性定义 ........................................................................... n 5.6.3 触发器定义 .............................................................................................. n 5.7自定义函数.......................................................................................................... n 5.8存储过程的定义.................................................................................................. n 5.9事务的定义.......................................................................................................... n 6 总结................................................................................................................................. n 参考文献 ............................................................................................................................ n

相关主题
文本预览
相关文档 最新文档