当前位置:文档之家› 电子系统设计实验指导书(FPGA基础篇Vivado版)

电子系统设计实验指导书(FPGA基础篇Vivado版)

电子系统设计实验指导书(FPGA基础篇Vivado版)
电子系统设计实验指导书(FPGA基础篇Vivado版)

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

耕作学实验指导

耕作学实验指导书 河北农业大学农学院 作物栽培与耕作系 2005年8月修订

目录 实验一作物种类与复种形式的确定 (1) 实验二作物布局优化方法之——原理与基本方法 (5) 实验三作物布局优化方法之二—最优化计算机软件的应用 (10) 实验四轮作制度设计 (12) 实验五土地耕作制设计 (15) 实验六土壤施肥制的设计 (17) 附录1 选修实验目录 (32)

实验一种植制度的农业资源分析 ——作物类型与复种形式的确定 一、目的意义: 农业的稳产高产是以作物与其环境的高度统一与适应为基础的。依据当地的气候、土壤及生产经济条件确定所种植的作物种类及复种方式,是安排农业生产的首要问题。在我们还不能大面积控制作物环境的条件下,因地制宜,适地适作是农业费省效宏的有效手段。本实验旨在掌握各作物生态适应性及所规划地区生态条件的基础上,运用所掌握的生态学与耕作学知识,学会分析种植制度与资源关系的方法,为耕作制度设计奠定基础。 二、原则: 1.以作物与其环境的统一为总原则。不同地区在地理、地形、地貌、气候,土壤及生产条件诸方面存在差异,而各种作物又要求不同的生活环境,只有使作物与环境相互统一,组成—个协调的生态系统,作物才能稳产高产。 2.从大农业观出发,农林草综合发展,在充分利用农业资源,大力发展商品生产的同时,要积极保护农业资源,保证农业生态系统的良好循环,以同时获得高的经济效益和生态效益。 3.既要考虑因地制宜,适地适作,又要注意满足人民群众及社会的多种需要,在发展粮食生产的同时,发展经济作物、果品蔬菜及饲料绿肥作物的生产。 三、依据: 1.作物对热量的要求: 热量是决定作物种类与复种方式的首要条件。多种作物在其系统发育中形成了对热量要求的不同类型。因此,可将作物分为耐寒作物、低温作物、中温作物及喜温作物,它们对温度的要求如附表1。 某作物在此地能否种植,首先取决于当地生长季内的积温状况。当一个生长季内的积温除能满足—茬作物需要(考虑一定的保证率,—般80%以上)尚有剩余时,就可考虑复种。复种形式可根据热量及其它条件采取一年两熟、二年三熟等熟制类型。根据条件可采取套作复种或平作复种。以冬小麦为前茬的平作复种作需≥0℃积温,如附表2。 2.作物对水分的要求:

实验指导书 实验二_SolidWorks建模1

实验二 SolidWorks 草绘特征和放置特征操作(一) 一、 实验目的 1. 掌握基本零件建模的一般步骤和方法 2. 掌握SolidWorks 草绘特征:拉伸凸台、拉伸切除、旋转凸台、旋转切除、扫描、 放样的操作方法。 3. 掌握放置(应用)特征:钻孔特征、倒角特征、圆角特征、抽壳特征、拔模斜度特 征、筋的操作方法 二、 实验内容 完成下列下列零件造型 三、 实验步骤 1. 连接件设计 完成如图 1 (1) (2) 2 所示。 图 1连接件 图 2草图 (3) 单击【拉伸凸台/ 框内选择【两侧对称】选项,在【深度】文本框内输入“54mm ”,单击【确定】按钮,如图 3所示。 图 3 “拉伸”特征 (4) 120°”,然后 在第二参考中选择图形的一条下边线。单击【确定】按钮,建立新基准面,如

错误!未找到引用源。所示。 (5) 1,选择“反转法线” 1,单选择 4所示。 图4草图 图4建立基准面 底面边线

(6) 单击【拉伸凸台/ 列表框内选择【给定深度】选项,在【深度】文本框内输入“12mm”,单击【确定】按钮,如图5所示。 图5“拉伸”特征 (7)选取基体上表面,单击【草图绘制】进入草图绘制,使用中心线工具在 上表面的中心位置绘制直线,注意不要捕捉到表面边线,如图6所示。 图 6 中心线 (8) 内输入“8mm”,在图形区域选择中心线,在属性管理器中选中【添加尺寸】、【选择链】、【双向】和【顶端加盖】复选框,选中【圆弧】单选按钮,单击【确定】按钮,标注尺寸,完成草图,如图7所示。 运用“等距实体”绘制草图 (8) -拉伸】属性管理器,在【终止条件】下拉 列表框内选择【完全贯穿】选项,单击【确定】按钮,如图8所示。

FPGA设计的报告课程设计

FPGA课程设计 实 验 报 告

实验一:设计一个可控的100进制可逆计数器 一、实验要求 用DE2-115开发板下载。 (1)计数器的时钟输入信号周期为200ns。 (2)以十进制形式显示。 (3)有一个复位端clr和两个控制端plus和minus,在这些控制信号的作用 clr plus minus 功能 0 ××复位为0 1 1 0 递增计数 1 0 1 递减计数 1 1 1 暂停计数 二、关键词 可控制、可逆、100进制、复位、暂停、递增、递减 三、内容摘要 module updown_count(qout,reset,clk,plus,minus); output[7:0] qout;/*定义一个8位的输出,其目的是 低四位和高四位分别表示计数器的个位和十位。*/ input clk,plus,minus,reset;//定义四个输入,时钟,加计数,减计数和清零 reg[7:0] qout;//qout的数据类型为寄存器型 always @(posedge clk)//当clk上升沿到来时执行一遍下列程序 begin if(!reset) qout<=0;//当reset为低电平时,计数器执行清零功能,否则跳过else begin case({minus,plus})//case语句模块,包含加,减和暂停四个模块 2'b10: if (qout[3:0]==0)//判断个位是否为零,若不为零,跳到个位减一begin qout[3:0]<=9;//给个位赋值 if(qout[7:4]==0) qout[7:4]<=9;//判断十位是否为零,并且给十位赋值 else qout[7:4]<=qout[7:4]-1;//由于个位赋9,相当于向十位借一,因而十位减一end else qout[3:0]<=qout[3:0]-1;//个位减一 /*这一部分是减计数模块,其思路是:首先判断个位是否为零,若为零,则执行后面的程序,个位直接赋9,并且十位减一;否则个位减一*/ 2'b01: if (qout[3:0]==9)//判断个位是否为9,否则跳到个位加一begin

作物栽培学教学大纲

《作物栽培学实验》教学大纲 【课程编号】17315132 【英文名Experiment of Crop Culture 【课程学时】32学时,分为上下两个学期,每学期各16学时。 【适用专业】农学 一、本实验课程的教学目的和要求 本实验课程的教学目是在《作物栽培学》理论课程教学的基础上,培养学生在作物栽培方面的基本操作技能,为学生从事作物栽培、作物育种等方面的科学研究、作物生产技术创新、推广及相关生产实践活动打下基础,同时加深学生对相关理论教学内容的理解。本课程属于农学专业的专业必修课程。 本课程要求学生能熟练地将理论教学中的相关知识与实际操作相结合,能理解所开设实验的目的、意义及关键环节,有良好的动手能力,能较好地掌握各个实验的操作技能;同时逐步培养学生的创新能力和从事相关科学研究的能力,并能分析和解决科研和生产活动中的实际问题。 二、本实验课程与其它课程的关系 本实验课程以《作物栽培学》理论教学为基础,同时与《植物学》、《植物生理学》和《土壤肥料学》等课程紧密联系,必须把本实验课程与以这些课程的知识有机结合。还应将本课程内容与《作物栽培学教学实习》等实践性课程的内容相互完善和补充。 三、实验课程理论教学内容安排 本实验课不安排理论教学内容。 四、实验内容安排

实验一、小麦、油菜田间种植密度测定 【目的要求】掌握作物田间种植密度测定的基本方法 【内容】实测小麦、油菜的种植规格,计算其种植密度。 【方法】选择不同种植方式的小麦、油菜田各2-3块,学生分小组分别测定不同田块的行距、穴距或单位行段内的植株数,根据株、穴距或单位行段内的植株数计算单位面积种植密度,并做出比较。 实验二、小麦田间种植设计及全程生育动态观测 【目的要求】掌握小麦种植的基本程序和田间调查的方法,熟悉小麦全生育期的生育进程变化。 【内容】小麦播种及田间管理;调查小麦全生育期苗情动态,计算单位面积的最高苗、有效穗及成穗率等;观察和记载小麦拔节、孕穗、抽穗、开花、乳熟、蜡熟和完熟等重要生育时期及病虫害等情况。 【方法】学生先在教师指导下,自选小麦品种、自行设计种植方案,在指定地块按方案种植一定面积的小麦,并负责进行田间管理,在小麦整个生育期内分不同生育时期观测8—10次小麦茎蘖数,并根据小麦生育进程观察和记载上述内容。 实验三、水稻田间种植设计及全程生育动态观测 【目的要求】掌握水稻种植的基本程序和田间调查的方法,熟悉水稻全生育期的生育进程变化。 【内容】水稻育秧、移栽及田间管理;调查水稻全生育期苗情动态,计算单位面积的最高苗、有效穗及成穗率等;观察和记载水稻拔节、孕穗、抽穗、开花、乳熟、蜡熟和完熟等重要生育时期及病虫害等情况。 【方法】学生先在教师指导下,自选水稻品种、自行设计种植方案,在指定地块按方案培育水稻秧苗和大田移栽,并负责进行田间管理,在水稻整个生育期内分不同生育时期观测8—10次水稻茎蘖数,并根据水稻生育进程观察和记载上述内容。 实验四、主要农作物种子形态、结构观察和识别 【目的要求】熟悉主要农作物种子形态、结构 【内容】观察和比较水稻、小麦、玉米和花生种子的形态、结构。 【方法】以不同类型的水稻、小麦、玉米和花生等作物种子为材料,进行相应的预处理后在实验室观察和比较,分别以图示和文字相结合描述各种作物种子的主要形态特征。 实验五、主要农作物幼苗形态观察 【目的要求】熟悉主要农作物种子幼苗形态特征。 【内容】观察水稻、小麦、玉米、花生、蚕豆、豌豆、大豆等农作物种子的幼苗形态特征。 【方法】在盆栽条件下播种上述农作物种子,在发芽后分2-3个不同时期观察幼苗形态,分别以图示和文字相结合描述各种作物幼苗的主要形态特征。 实验六、常见农田杂草的识别与防除 【目的要求】熟悉冬、春常见农田杂草的名称、所属科及特征特性 【内容】观察常见冬、春农田杂草的主要种类及其特征,现场识别和采集不同种类农田杂草。

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

农学专业本科人才培养方案

农学专业本科人才培养方案 一、专业名称:农学(Agronomy);专业代码:090101 二、培养目标:培养具备作物生产、作物遗传育种及种子科学等方面的基本理论、基本知识和基本技能,能在农业及其他相关的部门、企业或单位从事与农学有关的技术与设计、推广与开发、经营与管理、教学与科研等工作的应用型、复合型科学技术人才。 三、培养要求:本专业毕业生应具有良好的思想品德、社会公德和职业道德,具有宽厚的人文社会科学和自然科学的基本知识,掌握农业生物科学、农业生态科学、作物生长发育和遗传规律、作物育种、栽培、耕作、种子及农业推广等方面的基本理论和基本知识,受到作物生产和作物新品种选育等方面的基本训练,具有作物育种、作物栽培和耕作、种子生产与检验等方面的基本能力。 毕业生应获得以下几方面的知识和能力: 1. 具备扎实的数学、物理、化学等基本理论知识; 2. 掌握生物学科和农学学科的基本理论、基本知识; 3. 掌握农业生产,特别是作物生产的技能和方法; 4. 具备农业可持续发展的意识和基本知识,了解农业生产和科学技术的前沿和发展趋势; 5. 熟悉农业生产,了解农村、农业、农民及有关方针政策; 6. 掌握科技文献检索、资料查询的基本方法,具有一定的科学研究和实际工作能力; 7. 掌握种子生产、种子检验、种子加工与贮藏和经营管理的技术、原理和方法; 8. 有较强的调查研究与决策、组织与管理、口头与文字表达能力,具有独立获取知识、信息处理和创新的基本能力; 9. 掌握农业推广的基本方法、手段,通过试验、示范、培训、指导以及咨询服务等,把农业技术普及应用于农业生产的产前、产中、产后全过程。 四、主干学科:作物学。 五、主要课程:植物学,植物生理学,生物化学,微生物学,气象学,遗传学,土壤肥料学,植物保护学,试验统计方法,作物栽培学,作物育种学,种子学,耕作学等。 六、主要实践教学环节:军事技能训练,思政实践,社会实践,农学实践,教学实习,生产实习,科学研究能力训练,毕业实习及毕业论文等。 七、学制与修业年限:标准学制4年,修业年限3-6年。 八、授予学位:农学学士。 九、毕业学分要求:最低修读171学分,其中课内教学不低于129学分,实践教学不低于42学分。 十、课程设置及教学进程表:

R语言实验指导书(二)

R语言实验指导书(二) 2016年10月27日

实验三创建和使用R语言数据集 一、实验目的: 1.了解R语言中的数据结构。 2.熟练掌握他们的创建方法,和函数中一些参数的使用。 3.对创建的数据结构进行,排序、查找、删除等简单的操作。 二、实验内容: 1.向量的创建及因子的创建和查看 有一份来自澳大利亚所有州和行政区的20个税务会计师的信息样本 1 以及他们各自所在地的州名。州名为:tas, sa, qld, nsw, nsw, nt, wa, wa, qld, vic, nsw, vic, qld, qld, sa, tas, sa, nt, wa, vic。 1)将这些州名以字符串的形式保存在state当中。 2)创建一个为这个向量创建一个因子statef。 3)使用levels函数查看因子的水平。 2.矩阵与数组。

i.创建一个4*5的数组如图,创建一个索引矩阵如图,用这个索引矩 阵访问数组,观察结果。 3.将之前的state,数组,矩阵合在一起创建一个长度为3的列表。

4.创建一个数据框如图。 5.将这个数据框按照mpg列进行排序。 6.访问数据框中drat列值为3.90的数据。

三、实验要求 要求学生熟练掌握向量、矩阵、数据框、列表、因子的创建和使用。

实验四数据的导入导出 一、实验目的 1.熟练掌握从一些包中读取数据。 2.熟练掌握csv文件的导入。 3.创建一个数据框,并导出为csv格式。 二、实验内容 1.创建一个csv文件(内容自定),并用readtable函数导入该文件。 2.查看R语言自带的数据集airquality(纽约1973年5-9月每日空气质 量)。 3.列出airquality的前十列,并将这前十列保存到air中。 4.查看airquality中列的对象类型。 5.查看airquality数据集中各成分的名称 6.将air这个数据框导出为csv格式文件。(write.table (x, file ="", sep ="", https://www.doczj.com/doc/895398536.html,s =TRUE, https://www.doczj.com/doc/895398536.html,s =TRUE, quote =TRUE)) 三、实验要求 要求学生掌握从包中读取数据,导入csv文件的数据,并学会将文件导出。

使用QUARTUS II做FPGA开发全流程,傻瓜式详细教程

My First FPGA Design Tutorial 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 https://www.doczj.com/doc/895398536.html, TU-01002-1.0

Copyright ? 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device des-ignations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Al-tera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the ap- plication or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published in- formation and before placing orders for products or services. Printed on recycled paper

FPGA课程设计报告

F P G A 课 程 设 计 报 告 学部:信息科学与技术学部 专业:通信工程 班级:10级1班 学号:100103011125 姓名:万洁 指导老师:祝宏 合作伙伴:张紫君 2012.12.13

一.《任务书》: 实验一100进制的可逆计数器(11——12周)实验二交通灯控制系统(15周) 实验三多功能数字钟系统(14-15周)二.实验书写格式: 一:题目要求 二:程序代码 三:操作步骤及运行结果截图 四:心得体会 三.实验附录: 一:老师提供的资源 二:关于实验所用EP4CE115F29板的简介

实验一100进制的可逆计数器 一、设计一个可控的100进制可逆计数器,要求用实验箱下载。 (1)计数器的时钟输入信号周期为200ns。 (2)以十进制形式显示。 (3)有一个复位端clr和两个控制端plus和minus,在这些控制信号的作用下,计数器具有复位、增或减计数、暂停功能。 clr plus minus 功能 0 ××复位为0 1 1 0 递增计数 1 0 1 递减计数 1 1 1 暂停计数 二、程序如下: module keni100(CLR,CLK,PLUS,MINUS,OUT); //100进制的可逆计数器 input CLR,PLUS,MINUS,CLK; output [7:0]OUT; reg [7:0]OUT; always@(posedge CLK) begin if(!CLR) //如果CLR为零,输出为零;反之,运行else程序 OUT[7:0]<=0; else

begin if(PLUS==0 && MINUS==1) //100进制的递减计数 begin if (OUT[3:0]==0) begin OUT[3:0]<=9; if (OUT[7:4]==0) OUT[7:4]<=9; else OUT[7:4]<=OUT[7:4]-1; end else OUT[3:0]<=OUT[3:0]-1; end if(PLUS==1 && MINUS==0) //100进制的递增计数 begin if (OUT[3:0]==9) begin OUT[3:0]<=0; if (OUT[7:4]==9) OUT[7:4]<=0; else OUT[7:4]<=OUT[7:4]+1; end else OUT[3:0]<=OUT[3:0]+1; end if(PLUS==1 && MINUS==1) OUT<=OUT; //若PLUS和MINUS都为1,暂停计数 if(PLUS==0 && MINUS==0) OUT<=0; //若都为零,输出为零end end endmodule 三、运行程序 1、在quarters II9.1输入程序 打开quarters II界面,点击file→New,在出现的对话框,如图1.1所示,选择Text File,点击OK.

耕作学实习报告

青岛农业大学 本科生实习报告 报告题目耕作学实习报告 学生学院农学与植物保护学院 专业班级农学1204 姓名学号闫董丰20124927

耕作学实习报告 一、实习目的:通过对莱阳某农村的种植制度以及养地制度调查访问,即对莱阳校区实习基地的调查了解,进一步学习种植制度以及养地制度的主要内容及相关技术。 二、实习时间:2015年10月27日 三、实习地点:莱阳市某农村莱阳校区实习基地 四、实习内容 此次实习首先回顾了课堂相关知识,进而在老师指导下对照的种植制度和养地制度进行了参观调查,由于实习时间为十月份,因此我们观察到有些土地是刚刚收获上一茬作物但还没有种植下茬作物的。 进而在老师指导下,对当地农民进行了采访,采访内容主要有: 1、自然条件 莱阳市地处位于胶东半岛腹地,东北与烟台市接壤,西南与青岛市毗邻,南临黄海,是北温带东亚季风区。大陆度为64.3%,属大陆季风型半湿润性气候。具有光照充足,四季分明,春季风多易旱,夏季炎热多雨,秋季昼暖夜凉,冬季寒冷干燥的特点。全市年平均降水量为800MM,年平均气温11.2°,全市平均无霜期173天。全市总面积1734平方公里,耕地面积120万亩。境内土壤划分为棕壤、褐土、潮土、砂姜黑土、盐土、风砂土6个土类,11个土壤亚类,18个土属,137个土种。 2、作物种植概况 莱阳农学院实验基地及附近农户土地地势为平坦,紧邻咸河,雨水充足,少量来自农户家庭生活用水,水质较好,不含对作物有害的污染物。基地灌溉条件设施良好,大部分是旱涝保收,只有少部分地势低洼的地方难排水而常年有积水。 从整体上来看,实验田主要以玉米,小麦等粮食作物为主,花生,大豆等经济作物为辅,饲料作物很少。 (1)光照、热量、水对资源等自然资源的利于状况较好,但仍有可改进

实验指导书实验二_SolidWorks建模1

实验二SolidWorks草绘特征和放置特征操作(一) 一、实验目的 1.掌握基本零件建模的一般步骤和方法 2.掌握SolidWorks草绘特征:拉伸凸台、拉伸切除、旋转凸台、旋转切除、扫描、放样的操 作方法。 3.掌握放置(应用)特征:钻孔特征、倒角特征、圆角特征、抽壳特征、拔模斜度特征、筋的 操作方法 二、实验内容 完成下列下列零件造型 三、实验步骤 1. 连接件设计 完成如图1所示模型。 (1)单击【新建】按钮一1,新建一个零件文件。 (2)选取前视基准面,单击【草图绘制】按钮一I,进入草图绘制,绘制草图,如图2 所示。 图1连接件图2草图 ⑶ 单击【拉伸凸台/基体】按钮,出现【拉伸】属性管理器,在【方向】下拉列表 框内选择【两侧对称】选项,在【深度】文本框内输入" 54mm ”,单击【确定】 按钮,如图3所示。 (4)单击【基准面】按钮一1,出现【基准面】属性管理器,其中第一参考选择图形下底面, 然后单击【两面夹角】按钮日,在【角度】文本框内输入"120°,然后在第二参考中选择 图形的一条下边线。单击【确定】按钮¥,,建立新基准面,如

错误!未找到引用源。所示。 图4建立基准面 (5) 在设计树中右击基准面 1选择“反转法线” 卜,然后再单击基准 面 1单选择 【草图绘制】按钮 ,进入草图绘制,单击【正视于】按钮 ,绘制草图,如图 4所示。 边线 底面 图4草图

(6) 单击【拉伸凸台/基体】按钮 ,出现【拉伸】属性管理 器,在【终止条件】下拉 列表框内选择【给定深度】选项,在【深度】文本框内输入“ 12mm ”,单击【确 定】按钮1 如图5所示。 (7) 选取基体上表面,单击【草图绘制】 按钮_1,进入草图绘制,使用中心线工具 上表面的中心位置绘制直线,注意不要捕捉到表面边线,如图 6所示。 图6中心线 (8) 单击【等距实体】按钮丄,出现【等距实体】属性管理器,在【等距距离】文本框 内输入 “8mm ”,在图形区域选择中心线, 在属性管理器中选中 【添加尺寸】、【选 择链】、【双向】和【顶端加盖】复选框,选中【圆弧】单选按钮,单击【确定】 按钮 ,标注尺寸,完成草图,如图 7所示。 律黑 __________________ 严 玄[B 总 -召 厂[.砲 r 韦歼左眛編◎也 17比自口 R an (A ) 广 Efetfi- 图_7运用“等距实体”绘制草图 (8)单击【拉伸切除】按钮 □,出现【切除-拉伸】属性管理器,在【终止条件】下拉 列表框内选择【完全贯穿】选项,单击【确定】按钮 ,如图8所示。 图5 “拉伸”特征

FPGA开发入门教程

Altera FPGA开发入门教程

目录 目录 第一章 Altera FPGA 开发流程概述 (1) 1.1 你需要准备的 (1) 1.2 Altera FPGA 基本开发流程 (1) 第二章 QuartusII 软件安装教程 (4) 第三章 完成第一个FPGA设计 (20) 3.1 启动和建立QuartusII工程 (20) 3.2 编辑我们的设计文件 (27) 3.3 综合、布局布线 (30) 3.4 引脚约束 (34) 3.5 再次综合、布局布线 (37) 第四章 配置FPGA (38) 4.1 JTAG配置 (38) 4.2 JIC烧写 (42)

第一章Altera FPGA 开发流程概述 本章介绍Altera FPGA的最基本最简单的开发流程,目的在于让您更直观了解FPGA开发设计过程,最快上手FPGA开发,最快找到感觉:-) 1.1你需要准备的 ●兴趣 无需多言,兴趣是最好的老师! ●基本电路知识 学习FPGA最好能懂一些模拟电路和数字电路的基础知识,比如知道什么是高电平、低电平、逻辑门、触发器、电阻电容、发光二极管等。只需基本概念即可,不要求你是专家。当然,如果你有单片机之类的开发经验,那会更好! ●Verilog语言 是的,我们用Verilog进行FPGA设计。因为近年来,Verilog的使用率已经远远超过VHDL。你不需要太精通Verilog的语法,但是你需要用硬件的思维来学习和使用Verilog。在接下来的FPGA学习中,我们会反复强调这一点,以便带给你更深的体会。 ●硬件平台 纸上得来终觉浅。一块优秀而又易用的入门级FPGA开发板,会祝您一臂之力! 1.2A ltera FPGA 基本开发流程 图1- 1展示了Altera FPGA的基本开发流程。 1

《耕作学》课程教学大纲

《耕作学》课程教学大纲 课程名称:耕作学学分:2.5总学时:40 理论学时40实验学时0课程类别:专业课课程性质:必修课 适用层次:本科开课学期:8适用专业:农学 先修课程:植物学、植物生理学、农业微生物学、基础生物化学、农业气象学、土壤学、农业昆虫学、农业经营管理、植物营养与肥料、植物病理学、作物育种学、作物栽培学I、农业生态学 后续课程:种子生产学、试验数据的计算机处理、荒漠土壤培肥与改良、现代农业专题 一、课程性质、地位和任务 1、课程性质: 耕作学以作物栽培学、植物生理学、土壤学、农业生态学、农业经济学、农业气象学等学科为基础,研究并阐明耕作制度形成、发展、演进和改革的规律,探讨气候、作物、土壤之间以及它们和农业技术措施之间的辨证关系,而达到既充分利用当地的自然资源好社会资源,提高农作物的单产,又积极保护农业自然资源,改善环境,培养地力,为作

物稳产提供良好的土壤、气候、环境的一门生产性、综合性很强的学科。本课程是农学专业必修课。 2、课程的地位: 耕作学把农业生产当作一个系统,从农业发展的全局研究综合的农业技术体系,解决农业生产在时间、空间上的优化问题,是一门综合性农业应用科学,主要培养学生具有现代化农业的总体战略观点和组织指导生产的能力,提高学生综合分析问题和解决问题的能力,辩证地、全面地认识和分析农业生产问题。因此本课程在农学专业的学生培养方面具有重要的作用。 3、课程的任务: 耕作学在性质上属于自然科学,但它与社会经济及相关学科有着十分密切的关系,其内容包括作物结构与布局、复种、间混套作、轮连作以及与之相适应的提高土地生产力的对策,又属应用科学,有较强的技术性,同时也包含农业宏观决策管理等一些软科学内容。耕作学的任务就是在自然与社会条件下建立稳产、高产、优质、高效率和低成本的耕作制度。 二、教学目标及要求 耕作学是农学及其他相关农科专业的重要专业课,是一门生产性、综合性很强的应用科学。农学专业的学生在学习《耕作学》这一课程时应了解耕作学发展的阶段、耕作制度

土工实验指导书及实验报告

土工实验指导书及实验报告编写毕守一 安徽水利水电职业技术学院 二OO九年五月

目录 实验一试样制备 实验二含水率试验 实验三密度试验 实验四液限和塑限试验 实验五颗粒分析试验 实验六固结试验 实验七直接剪切试验 实验八击实试验 土工试验复习题

实验一试样制备 一、概述 试样的制备是获得正确的试验成果的前提,为保证试验成果的可靠性以及试验数据的可比性,应具备一个统一的试样制备方法和程序。 试样的制备可分为原状土的试样制备和扰动土的试样制备。对于原状土的试样制备主要包括土样的开启、描述、切取等程序;而扰动土的制备程序则主要包括风干、碾散、过筛、分样和贮存等预备程序以及击实等制备程序,这些程序步骤的正确与否,都会直接影响到试验成果的可靠性,因此,试样的制备是土工试验工作的首要质量要素。 二、仪器设备 试样制备所需的主要仪器设备,包括: (1)孔径0.5mm、2mm和5mm的细筛; (2)孔径0.075mm的洗筛; (3)称量10kg、最小分度值5g的台秤; (4)称量5000g、最小分度值1g和称量200g、最小分度值0.01g的天平;

(5)不锈钢环刀(内径61.8mm、高20mm;内径79.8mm、高20mm或内径61.8mm、高40mm); (6)击样器:包括活塞、导筒和环刀; (7)其他:切土刀、钢丝锯、碎土工具、烘箱、保湿器、喷水设备、凡士林等。 三、试样制备 (一)原状土试样的制备步骤 1、将土样筒按标明的上下方向放置,剥去蜡封和胶带,开启土样筒取土样。 2、检查土样结构,若土样已扰动,则不应作为制备力学性质试验的试样。 3、根据试验要求确定环刀尺寸,并在环刀内壁涂一薄层凡士林,然后刃口向下放在土样上,将环刀垂直下压,同时用切土刀沿环刀外侧切削土样,边压边削直至土样高出环刀,制样时不得扰动土样。 4、采用钢丝锯或切土刀平整环刀两端土样,然后擦净环刀外壁,称环刀和土的总质量。 5、切削试样时,应对土样的层次、气味、颜色、夹杂物、裂缝和均匀性进行描述。 6、从切削的余土中取代表性试样,供测定含水率以及颗粒分析、界限含水率等试验之用。

FPGA学习指南

PS:笔者强烈建议诸位注册一个EETOP的账号,每天签到或者发贴、回贴就有积分了,里面的资源非常丰富,各种软件、资料都能找到。 一、入门首先要掌握HDL(HDL=verilog+VHDL)。 第一句话是:还没学数电的先学数电。然后你可以选择verilog或者VHDL,有C语言基础的,建议选择VHDL。因为verilog太像C了,很容易混淆,最后你会发现,你花了大量时间去区分这两种语言,而不是在学习如何使用它。当然,你思维能转得过来,也可以选verilog,毕竟在国内verilog用得比较多。 接下来,首先找本实例抄代码。抄代码的意义在于熟悉语法规则和编译器(这里的编译器是硅编译器又叫综合器,常用的编译器有:Quartus、ISE、Vivado、Design Compiler、Synopsys的VCS、iverilog、Lattice的Diamond、Microsemi/Actel的Libero、Synplify pro),然后再模仿着写,最后不看书也能写出来。编译完代码,就打开RTL图,看一下综合出来是什么样的电路。 HDL是硬件描述语言,突出硬件这一特点,所以要用数电的思维去思考HDL,而不是用C语言或者其它高级语言,如果不能理解这句话的,可以看《什么是硬件以及什么是软件》。在这一阶段,推荐的教材是《Verilog传奇》、《Verilog HDL高级数字设计》或者是《用于逻辑综合的VHDL》。不看书也能写出个三段式状态机就可以进入下一阶段了。 此外,你手上必须准备Verilog或者VHDL的官方文档,《verilog_IEEE官方标准手册-2005_IEEE_P1364》、《IEEE Standard VHDL Language_2008》,以便遇到一些语法问题的时候能查一下。 二、独立完成中小规模的数字电路设计。 现在,你可以设计一些数字电路了,像交通灯、电子琴、DDS等等,推荐的教材是《Verilog HDL应用程序设计实例精讲》。在这一阶段,你要做到的是:给你一个指标要求或者时序图,你能用HDL设计电路去实现它。这里你需要一块开发板,可以选Altera的cyclone IV系列,或者Xilinx的Spantan 6。还没掌握HDL之前千万不要买开发板,因为你买回来也没用。这里你没必要每次编译通过就下载代码,咱们用modelsim仿真(此外还有QuestaSim、NC verilog、Diamond的Active-HDL、VCS、Debussy/Verdi等仿真工具),如果仿真都不能通过那就不用下载了,肯定不行的。在这里先掌握简单的testbench就可以了。推荐的教材是《WRITING TESTBENCHES Functional Verification of HDL Models》。 三、掌握设计方法和设计原则。 你可能发现你综合出来的电路尽管没错,但有很多警告。这个时候,你得学会同步设计原则、优化电路,是速度优先还是面积优先,时钟树应该怎样设计,怎样同步两个异频时钟 《Altera FPGA/CPLD 等等。推荐的教材是《FPGA权威指南》、《IP核芯志-数字逻辑设计思想》、 设计》第二版的基础篇和高级篇两本。学会加快编译速度(增量式编译、LogicLock),静态时序分析(timequest),嵌入式逻辑分析仪(signaltap)就算是通关了。如果有不懂的地方可以暂时跳过,因为这部分还需要足量的实践,才能有较深刻的理解。 四、学会提高开发效率。 因为Quartus和ISE的编辑器功能太弱,影响了开发效率。所以建议使用Sublime text 编辑器中代码片段的功能,以减少重复性劳动。Modelsim也是常用的仿真工具,学会TCL/TK 以编写适合自己的DO文件,使得仿真变得自动化,推荐的教材是《TCL/TK入门经典》。你可能会手动备份代码,但是专业人士都是用版本控制器的,所以,为了提高工作效率,必须掌握GIT。文件比较器Beyond Compare也是个比较常用的工具。此外,你也可以使用System Verilog来替代testbench,这样效率会更高一些。如果你是做IC验证的,就必须掌

《面向对象程序设计》实验指导书(实验二)

实验二类与对象㈡——对象初始化、对象数据与指针 一、实验目的 1.理解构造函数、析构函数的意义及作用,掌握构造函数、析构函数的定义及调用时间,熟悉构造函数的种类; 2.理解this指针及使用方法,熟悉对象数组、对象指针、对象引用的定义及使用方法,熟悉对象作为函数参数的使用方法; 3.熟悉类与对象的应用及编程。 二、实验学时 课内实验:2课时课外练习:2课时 三本实验涉及的新知识 ㈠构造函数与析构函数 在C++中,提供了两个特殊的成员函数,即构造函数和析构函数。 构造函数用于对象的初始化,即在定义一个类对象时,计算机在给对象分配相应的存储单元的同时,为对象的数据成员赋初值。 析构执行的是与构造函数相反的操作,用于撤销对象的同时释放对象所占用的内存空间。 1.构造函数 ⑴构造函数的定义 格式: 类名(形参表) { 构造函数体} ⑵构造函数的调用 构造函数的调用是在定义对象时调用的。 格式:类名对象名(实参表); 类名对象名=构造函数名(实参表); ⑶说明 ①构造函数必须与类同名。 ②构造函数没有返回值,但不能在构造函数前加void类型符(其他没有返回值的成员函数必须加类型符void)。 ③在实际应用中,在定义类时通常应定义一至多个构造函数(重载),以对各数据成员进行初始化;如果不给出构造函数,系统将自定义一个构造函数。 ④构造函数可以可以带参数,也可不带任何参数(称无参构选函数),还可以使用缺省参数。 ⑤不能象普通成员函数一样单独调用。 2.析构函数 ⑴析构函数的定义 格式: ~类名(void) { 析构函数体} ⑵析构函数的调用 析构函数是在撤销对象时自动调用的。 ⑶说明

FPGA入门系列实验教程——LED跑马灯

艾米电子工作室FPGA入门系列实验教程 FPGA入门系列实验教程V1.0 前言 目前市场销售FPGA开发板的厂商很多,但大多只提供些简单的代码,没有详尽的文档和教程提供给初学者。经验表明,很多学生在学习FPGA设计技术的过程中,虽然刚开始学习热情很高,但真正坚持下来一直到“学会”的却寥寥无几,除了学生个人毅力的因素外,另外一个更主要的原因就是所选的开发板缺少配套的说明文档和手把手系列的入门教程。原本FPGA的学习门槛相对于单片机来说就高了不少,再加上缺少手把手系列教程资料,这就给初学者学习FPGA增添了更多的困难,很多初学者因为找不到入门的方法而渐渐失去了学习FPGA的兴趣和信心。 作者从接著到系统学习FPGA有两年多的时间了,学习FPGA的时间不长,期间因为没有专业的老师指导,自己摸索学习FPGA走了很多的弯路。有过问题迎刃而解的快乐,也有过苦苦寻求结果和答案的痛苦历程,回想起自己学习FPGA的历程,从开始的茫然,到后来的疯狂看书,购买开发板,在开发板上练习各种FPGA实例,到最后能独立完成项目,一路走来,感受颇多。发觉学习FPGA只要选择正确的方法是有捷径可走的,有很多人学习FPGA很长时间,因为没有找到正确的方法还是停留在入门阶段。 针对现状,作者从初学者的角度出发,结合作者学习FPGA的经验和亲身体会,遵循“宁可啰嗦一点,也不放过细节”的原则编写了详尽的实验教程作为艾米电子工作室开发套件的配套教程使用,主要面向FPGA初学者。FPGA的学习只有通过大量的操作与实践才能很好并快速的掌握。为此本实验教程从点亮LED 灯写起,深入浅出,以图文并茂的方式由易到难地列举了很多实例,采用手把手、Step by Step的方式让初学者以最快的方式掌握FPGA技术的开发流程以及Quartus II软件的使用,从而激起初学者学习FPGA的兴趣。在教程中作者采用“授人以渔”的方式,努力做到不仅讲述怎样进行试验,而且分析为什么这样做,以便初学者深刻理解并快速掌握FPGA的学习方法。 本实验教程的所有实例均在艾米电子工作室开发套件上验证通过,本教程虽然简单但编写也花费了作者大量的时间和精力,对于转载需要注明出处:https://www.doczj.com/doc/895398536.html,(艾米电子工作室作者:静水流深),并未经艾米电子工作室同意不得用于其他商业用途。 FPGA技术是不断发展变化的,要掌握FPGA技术的精髓,需要设计者在实践中不懈地摸索与积累,逐步提高自己的设计水平,本实验教程试图对初学者起到快速入门的作用。但由于作者学习FPGA时间不长,水平有限,错漏和不严谨之处在所难免,欢迎大家批评指正。并请您将阅读中发现的错误或建议发送到作者Email:zhoujie9220@https://www.doczj.com/doc/895398536.html,,以使本教程不断地完善。

相关主题
相关文档 最新文档