当前位置:文档之家› 数字电子课程设计

数字电子课程设计

数字电子课程设计
数字电子课程设计

目录

1. 课程设计的目的和作用 (1)

1.1课程设计的目的和作用 (1)

2.设计任务 (1)

2.1三位二进制减法器(无效态001,100) (1)

2.2基于74161芯片仿真设计240进制计数器并显示计数过程 (1)

3.基本原理 (2)

3.1三位二进制减法器(无效态001,100)和基于74161芯片仿真设计240

进制计数器并显示过程 (2)

4.实验步骤: (2)

4.1同步减法计数器: (2)

4.2基于74161芯片仿真设计240进制计数器并显示计数过程 (6)

5仿真效果图 (7)

5.1.1三位二进制减法器(无效态001,100)仿真效果图 (7)

5.1.2仿真结果分析 (9)

5.2.1基于74161芯片仿真设计240进制计数器仿真效果图 (10)

5.2.2仿真结果分析 (11)

6设计总结和体会 (11)

7参考文献 (12)

1.课程设计的目的和作用

1.1课程设计的目的和作用

1.学会使用数字电子实验平台

2.熟悉各个芯片和电路的接法

3.熟练掌握设计触发器的算法

4.懂得基本数字电子电路的功能,会分析,会设计

2.设计任务

2.1三位二进制加法器(无效态001,100)

1. 使用设计一个循环型3位2进制同步加法计数器,其中无效状态为(001,100),

组合电路选用与门和与非门等。

2. 根据同步计数器原理设计加法器的电路图。

3. 根据电路原理图使用Multisim进行仿真。

4. 将电路图进行实际接线操作。

5. 检查无误后,测试其功能。

2.2基于74161芯片仿真设计240进制加法计数器并显示计数过程

1.根据集成计数器原理设计240进制计数器

2.根据原理图用74161连好电路图

3.根据电路原理图使用Multisim进行仿真

4.查看仿真结果,是否正确。

3.基本原理

3.1三位二进制加法器(无效态001,100)和基于74161芯片仿真设计240进制加法计数器并显示过程

(1)计数器是用来统计输入脉冲个数电路,是组成数字电路和计算机电路的基本时序逻辑部件。计数器按长度可分为:二进制,十进制和任意进制计数器。计数器不仅有加法计数器,也有减法计数器。如果一个计数器既能完成累加技术功能,也能完成递减功能,则称其为可逆计数器。在同步计数器中,个触发器共用同一个时钟信号。时钟信号是计数脉冲信号的输入端、

(2)时序电路的分析过程:根据给定的时序电路,写出各触发器的驱动方程,输出方程,根据驱动方程带入触发器特征方程,得到每个触发器的词态方程;再根据给定初太,一次迭代得到特征转换表,分析特征转换表画出状态图。(3)设计过程:设计流程如图1.3.1所示。

(4)集成240进制计数器的做法原理和同步加法计数器原理一样,使用清零端或者置数端实现N进制计数功能。

4.实验步骤:

4.1同步计数器:

(1)根据要求有状态图如下:

排列:Q2n Q1n Q0n

(2)选择触发器,求时钟方程、输出方程、状态方程:

A:选择触发器:由于触发器功能齐全、使用灵活,在这里选用3个CP下降沿触发的边沿JK触发器(74LLS112芯片两个)。

B:求时钟方程:采用同步方案,故 CP 0=CP 1=CP 2=CP CP 是整个要设计的时序电路的输入时钟脉冲

C:求输出方程:

a .确定约束项:由所给题目有无效状态为001、100,其对应的最小项n n n

Q Q Q 012和n n n Q Q 012Q 是约束项。

Q 2n Q 1n Q 0n 由图所示状态图所规定的输出与现态之间的逻辑关系.

b: 求状态方程:如下图,再分解开便可得到所示各触发器的卡诺图

各次态卡诺图如下:

Q 21的卡诺图

Q 1n Q 0n Q 2n

1

Q1n+1的卡诺图

Q0n+1的卡诺图

由图可得状态方程为:

Q

2

n+1 =n

n

n

n Q

Q

Q

1

2

1

2

Q+

Q

1

n+1 =n

n

n Q

Q

Q

1

1

+

1

+

n

Q=n

n

n Q

Q

2

1

Q)

(+

(3)求驱动方程:JK 触发器的特性方程为:n

n

1

n Q k

Q

J

Q+

=

+

变换状态方程,并比较特性方程求驱动方程:

n

n Q

1

2

Q

J=n

1

Q

K=

1

J

1

=n

1

Q

K=

n

1

2

Q

J=n

1

2

Q

K=

(4)画逻辑电路图:

根据所选用的触发器和时钟方程、输出方程、驱动方程,便可画出如图所示的逻辑电路图:

三位二进制加法计数器(无效态001,100)

(5)检查电路能否自启动:

将无效状态001,100代入驱动方程中计算:

001 110(无效状态)

110 111 (有效状态)可见,所设计的时序电路能够自启动。

实验仪器:

a. 数字原理实验系统一台;

b.集成电路芯片:74LS112三片 74LS00两片 74LS86一片。

(6)实验结论

经过实验可知,满足时序图的变化,且可以进行自启动。

小灯泡(灭灭灭灭亮灭灭亮亮亮灭亮亮亮灭亮亮亮)

4.2基于74161芯片仿真设计240进制加法计数器并显示计数过程

S的二进制代码 S N-1 ==(11101111)

(1)使用同步清零时,写出

N

(2)归零逻辑表达式:

(3)画逻辑电路图

5仿真效果图

5.1.1三位二进制加法器(无效态001,100)仿真效果图

5.1.2仿真结果分析

通过仿真结果分析,通过仿真软件multisim仿真结果,如上述仿真图可知,001和100是约束项,通过将输出Y接到显示器的引脚来显示三位二进制的数值,在CP脉冲下将会依次显示023567,然后继续返回到这个循环中。或者通过小灯泡的亮灭来显示二进制数值,会依次显示000 111 110 101 011010这个循环中。从而实现含有约束项001和100的三位二进制减法计数器。

5.2.1基于74161芯片仿真设计240进制计数器仿真效果图

5.2.2仿真结果分析

此电路是实现240进制的集成电路,通过使用74161的同步置数,来实现240进制加法计数器,将归零逻辑通过与非门连接到置零端,分别将级联的芯片的输出端连接到显示器,通过上述仿真图可看到,在CP脉冲下显示器从0到239,其中第一个显示器从0-到F共十六个数,然后从F又会归零。此时并将进位信号传到第二片芯片的使能端,使之开始工作,从零到八。这时显示器一从零变为7的过程,这样共240个数,然后显示器一再变为0。就这样在CP脉冲下,两块显示器就会实现240进制计数器的功能。

6设计总结和体会

通过本次设计,我系统的学习了multisim软件。系统的掌握了我们所学的知识,以前似懂非懂的和不懂的都在试验的过程中进一步的巩固和提高,实践果然是是检验真理的唯一标准。

从开始熟悉这些知识到对整体设计的了解,再从概要设计、详细设计到开始使用软件,以及最后的调试,整个过程感觉很充实。虽然遇到了不少困难,但当我通过自己查资料,向指导老师请教以及与同学互讨论,而设计出解决方案并成功实现时,顿时觉得所有的辛苦都值得了。

这次课设让我获益匪浅,学习不仅仅要动脑子,更要动手。理论和实践相结合才能取得更大的成功。我会以更热忱的态度去学习并研究这门重要的实践性课程。

7参考文献

【1】《数字电子技术基础简明教程》作者:余孟尝高等教育出版社

【2】《电子技术课程设计》作者:杨志忠机械工业出版社

【3】《Multisim10&Ultiboard原理图仿真与PCB设计》作者:吴翔,苏建峰电子工业出版社

成绩评定表

课程设计任务

数字电子钟课程设计

摘要 在生活中的各种场合经常要用到电子钟,现代电子技术的飞跃发展,各类智能化产品相应而出,数字电路具有电路简单、可靠性高、成本低等优点,本设计就以数字电路为核心设计智能电子钟。 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和、报时、整体清零等附加功能。干电路系统由秒信号发生器、时、分、秒计数器,译码器及显示器,校时电路,整体清零电路,整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。计数器用的是74LS90。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过六位LED 七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。整体清零电路是根据74LS90计数器在2,3脚均为1时清零的特点用电源,开关和逻辑门组成的清零电路对“时”、“分”、“秒”显示数字清零。校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的 关键词分频计数译码报时清零校时校分触发逻辑

目录 引言 1 设计目的............................................................ . (5) 2 设计任务 (5) 2.1设计指标 (5) 2.2设计要求 (5) 2.3方案的对比 (6) 3数字电子钟的组成 (6) 3.1数字钟的基本逻辑功能框图 (6) 3.2秒信号发生器(振荡器及分频电路) (7) 3.3时、分、秒计数器电路 (8) 3.4译码显示电路 (8) 3.4校时电路 (8) 3.6正点报时电路 (8) 3.7清零电路 (8) 4.数字钟的电路设计 (8) 4.1 秒信号发生器的设计 (8) 4.2计数电路的设计 (10) 4.2.1六十进制计数器 (10) 4.2.2 二十四进制计数器 (11) 4.2.3计数器的组间级联问题 (12) 4.3译码显示电路 (13) 4.4校时电路的设计 (13) 4.5正点报时电路的设计 (13) 4.6清零电路的设计 (15) 4.7数字电子钟的整体电路 (15) 4.7设计、调试要点 (15) 5元器件 (16) 5.1实验元器件清单 (16)

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

数字电路课程设计——多功能数字钟

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多功能数字钟的设计仿真与制作 初始条件: 利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 错误!未找到引用源。具有60进制和24进制(或12进制)计数功能,秒、分为60进制 计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2009 年6 月20~22 日,查阅相关资料,学习设计原理。 2、2009 年6 月23~24 日,方案选择和电路设计仿真。 3、2009 年6 月25~27 日,电路调试和设计说明书撰写。 4、2009 年6 月28 日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (3) 2.Proteus软件介绍 (4) 3.总体方案的设计与实现 (6) 3.1 数字钟的原理框图 (6) 3.2 各模块功能分析 (6) 3.2.1晶体振荡器 (6) 3.2.2分频器 (7) 3.2.3时间计数单元 (8) 3.2.4译码驱动及显示单元 (10) 3.2.5校时电源电路 (10) 3.2.6整点报时电路 (11) 4.数字钟的安装与调试 (12) 5.数字钟的工作状态分析 (13) 5.1数字钟的工作过程及结果分析 (13) 5.2数字钟工作过程中出现的问题及解决方法 (13) 6.元件清单 (15) 7.数字钟仿真图 (16) 8.心得体会 (17) 9.参考文献 (18) 10.课程设计成绩评定表 (19)

数字频率计设计_数字电子技术课程设计实验报告

电子技术基础 课程设计 题目名称:数字频率计设计 评语: 成绩: 重庆大学电气工程学院 2015年7月6日 目录 摘要 (1) 1、设计的目的及要求 (2) 1.1、设计目的 (2) 1.2、设计要求 (2) 2、设计思路及方案选择 (2) 2.1、设计思路 (2) 2.2、设计方案选择 (2)

3、设计及仿真 (3) 3.1、总体框图 (3) 3.2、各模块功能实现及介绍 (3) (1)整形电路 (3) (2)时钟产生及分频电路 (4) (3)T触发器 (5) (4)单稳触发器 (6) (5)计数器 (7) (6)锁存器 (8) (7)显示 (8) (8)小数点功能的实现 (8) 3.3全部电路及功能测试 (10) 4、焊接规划及实物设计 (12) 4.1、逻辑设计图转换 (12) 4.2、电路VCC\GND端共线设计 (12) 4.3、焊接元器件及排针 (12) 4.4、元件接线及电流引入 (12) 5、总结与感想 (12) 参考文献 (14)

摘要 作为数字电子技术、模拟电子技术中最常用的基本参数,频率经常会被应用到各种数据的计算当中。这就导致数字频率计在电子技术领域应用广泛,其作为一种最基本的测量仪器以其测量精度高、速度快、操作简便、数字显示等特点被广泛应用。本文主要介绍制作简易数字频率计的原理、方法以及设计思路。以74LS系列常用电子集成电路为例,分析如何利用整形、计数、分频、译码电路实现对于矩形波、三角波、方波等信号的频率分析及显示。本文以作者二人小组的设计为蓝本,分享设计经验,为有制作需求及意愿的人提供施行经验。 关键字:频率计整形电路分频电路计数方式

数字电子技术课程设计,数字钟的设计

武汉理工大学《数字电子技术》课程设计说明书 目录 1绪论-----------------------------------------------------------------------------------------1 2设计方案概述-------------------------------------------------------------------------2 2.1系统设计思路与总体方案---------------------------------------------------------------2 2.2总体工作过程------------------------------------------------------------------------------2 2.3各功能块的划分和组成------------------------------------------------------------------3 3单元电路设计与分析--------------------------------------------------------------3 3.1秒信号的发生电路------------------------------------------------------------------------3 3.2时、分、秒计数电路---------------------------------------------------------------------4 3.2.1秒部分-----------------------------------------------------------------------------------5 3.2.2分部分-----------------------------------------------------------------------------------5 3.2.3时部分-----------------------------------------------------------------------------------6 3.3校正时、分电路---------------------------------------------------------------------------7 3.3.1校分电路--------------------------------------------------------------------------------7 3.3.2校时电路--------------------------------------------------------------------------------8 3.4整点报时电路------------------------------------------------------------------------------8 3.5闹钟功能电路------------------------------------------------------------------------------9 5电路的调试与仿真-----------------------------------------------------------------9 4总体电路原理图---------------------------------------------------------------------11 6元器件清单-----------------------------------------------------------------------------12 7设计体会及心得---------------------------------------------------------------------12 参考文献------------------------------------------------------------------------------------14

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数字电子秤数字电路课程设计说明书

数字电路课程设计说明书 题目:数字电子秤 学生姓名:李思标 学号: 8080514215 院(系):职业技术学院 专业:机械设计制造及其自动化 指导教师:郭文强 2010 年 7 月 2日

目录 第一节绪论 (3) 1.1本设计的任务和主要内容 (3) 1.2基本工作原理及原理框图………………………………… 第二节硬件电路的设计 (4) 2.1 电阻应变式传感器的选择 (4) 2.2 三运放大电路的设计 (6) 2.3 集成A/D转换器CC7106 (7) 2.4 LED显示电路的设计 (9) 2.5 总体工作电路原理图 (10) 第三节电路元件列表 (11) 第四节设计总结 (12)

数字电子秤设计 第一节绪论 本课程设计的电子秤以单片机为主要部件,利用全桥测量原理,通过对电路输出电压和标准重量的线性关系,建立具体的数学模型,将电压量纲(V)改为重量纲(g)即成为一台原始电子秤。其中测量电路中最主要的元器件就是电阻应变式传感器。电阻应变式传感器是传感器中应用最多的一种,本设计采用全桥测量电路,使系统产生的误差更小,输出的数据更精确。而三运放大电路的作用就是把传感器输出的微弱的模拟信号进行一定倍数的放大,以满足A/D转换器对输入信号电平的要求。CC7106 A/D转换的作用是把模拟信号转变成数字信号,进行模数转换,然后把数字信号输送到显示电路中去,最后由显示电路显示出测量结果。 1.1本设计的任务和主要内容 设计任务:设计一数字电子秤,其技术要求如下: 1)测量范围:0-1.999kg; 0-19.99kg; 0-199.9kg; 0-1999kg。 2)用数字显示被测重量,小数点位置对应不同量程显示。 3)具有自动切换量程功能。 1.2设计思路及原理框图 1.设计思路 1)用电子称称重的过程是把被测物体的重量通过传感器转换成电压信号。由于这一信号通常都非常小,需要进行放大,放大后的模拟信号经模/数变换转变成数字量,再通过译码显示器显示出重量。由于被测物体的重量相差很大,根据不同的测量范围要求,可由电路自由切换量程,同时,显示器的小数点数位对应不同量程而变化,即可实现电子称的要求。 2)称重的准确程度首先取决于传感器输出的信号,电子称的传感器通常使用电桥,它将应变电阻转变成电压信号或电流信号。 基本工作原理框图如下:

数电课程设计数字电子钟说明书

数字电子技术电路课程设计题目:数字钟课程设计 学院:XXXXX 专业:XXXXX 班级:XXXX 姓名:XXXX 学号:XXXXX 指导老师:XXXXX

一、设计目的 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 二、设计要求 1.显示时,分,秒,用24小时制 2.能够进行校时,可以对数字钟进行调时间 3.能够正点报时(用555产生断续音频信号); 三、设计方案比较 方案一、采用中小规模集成电路实现 采用集成逻辑电路设计具有能实现,时、分、秒计时功能和定点报时功能,计时模块采用时钟信号触发,不需要程序控制。 方案二:EDA技术实现 采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展 方案三、单片机编程实现 此方案采用单片机编程来设计和控制。 综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,同时符合此次数子电子知识设计的要求。 四、设计过程和说明 1.数字电子钟计时和显示功能的实现 (1)采用两片十进制计数器74LS160N扩展连接,设计60进制的计数器,显示0到59,在59时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到59。(图)

(2)24进制亦采用两片十进制计数器74LS160N扩展连接,设计24进制的计数器,显示0到23,在23时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到23(图)

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数字电子称的设计(完美版)

沈阳航空航天大学 课程设计 (说明书) 数字电子称的设计 班级 学号 学生姓名 指导教师胡乃瑞

沈阳航空航天大学 课程设计任务书 课程名称电子技术综合课程设计 课程设计题目数字电子称的设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个一个具有数字显示功能的数字电子称,具体技术要求如下: (1)测量范围0~0.99kg(0~0.99V)1~1.99kg(1~1.99V)。 (2)用3 位数码管显示测量结果。 (3)直流电源输出的微弱信号作为该系统的输入信号。 (4)发挥部分:设计测量量程,进一步扩大测量量程和减小测量误差。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日

一.概述 电子秤是日常生活中常用的电子衡器,广泛应用于超市、大中型商场、物流配送中心。电子秤在结构和原理上取代了以杠杆平衡为原理的传统机械式称量工具。相比传统的机械式称量工具,电子秤具有称量精度高、装机体积小、应用范围广、易于操作使用等优点,在外形布局、工作原理、结构和材料上都是全新的计量衡器。目前市场上使用的称量工具,或者是结构复杂,或者运行不可靠,且成本高,精度稳定性不好,调整时间长,易损坏,维修困难,装机容量大,能源消耗大,生产成本高。而且目前市场上电子秤产品的整体水平不高,部分小型企业产品质量差且技术力量薄弱,设备不全,缺乏产品的开发能力,产品质量在低水平徘徊。因此,有针对性地开发出一套有实用价值的电子秤系统,从技术上克服上述诸多缺点,改善电子秤系统在应用中的不足之处,具有现实意义。 从20世纪70年代开始,在世界范围内掀起了一股“电子秤热”,各先进工业国都很重视传感技术和电子秤的研究、开发和生产。传感技术已经成为重要的现代科技领域,电子秤及其系统生产已经成为了重要的新兴行业。我国生产的电子秤产品主要是属于静态衡器电子秤,在计量要求、功能和外形上已经达到了国外同类产品的先进水平,而且在价格上又低于国外的同类产品,具有较好的出口潜力;但动态衡器电子秤,与国外的同类产品还有一定的差距,尤其是在动态稳定性上存在较大的距离,我国进口的电子秤大多数就是这类产品。我国的电子衡量器要想打入国际市场,参与国际竞争。这就要求企业必须以技术为先导、以质量为中心、以管理为基础,努力提高制造技术与制造工艺水平,稳定产品

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

数字电子课程设计数字钟

数字电路课程设计报告 目录 一、………设计课题 二、………设计任务 三、………设计要求 四、………分析及设计过程 五、………组装及调试过程 六、………参考文献(各芯片功能) 七、………设计心得及总结

一、设计课题 多功能数字钟电路设计. 二、设计任务 1给定的主要器件: 芯片数量芯片数量555 1 74ls191 1 74ls90 2 74ls74 1 74ls92 1 74ls00 2 74ls47 4 2实验原理图:

三、数字钟的功能要求 ①基本功能 以数字形式显示时、分、秒的时间,为节省器件,其中秒的个位可以用发光二极管指示,小时的十位亦可以用发光二极管指示,灯亮为“1”,灯灭为“0”。小时计数器的计时要求为“12翻1”。要求手动快速校时、校分或慢校时、慢校分。②扩展功能定时控制,其时间自定;仿广播电台整点报时;触摸报整点时数或自动报整点时数。 2、设计步骤与要求:①拟定数字钟电路的组成框图,要求设计优化,电路功能多,器件少,成本低。②设计并安装各单元电路,要求布线整齐、美观,便于级联与调试。③测试数字钟系统的逻辑功能,使满足设计功能的要求。④画出数字钟系统的整机逻辑电路图。⑤写出课程设计实验报告。 四、设计分析于过程 本课题是数字电路中计数、分频、译码、显示及时钟振荡器等组合逻辑电路与时序逻辑电路的综合应用。通过学习,要求掌握多功能数字钟电路的设计方法、装调技术及数字钟的扩展应用。 1、数字钟的功能要求(1)基本功能:①准确计时,以数字形式显示时、分、秒的时间;②小时的计时要求为“12翻1”,分和秒的计时要求为60进位;③校正时间。(2)扩展功能①定时控制;②仿广播电台整点报时; ③报整点时数;④触摸报整点时数。 2、数字钟电路系统的组成框图

电子称课程设计

1.前言 电子称重技术是现代称重计量和控制系统工程的重要基础之一,电子衡器经过40年的不断改进和完善,从60年代的机电结合型发展到现在的全电子型和数字化智能型。由于它具有称量准确、快速,读取方便,环境适应性强,便于与电子计算机结合而实现称重计量与过程控制自动化等特点,在工商贸易、能源交通、轻工食品、医药卫生、航空航天等部门得到了广泛的应用。本课题本着电子秤向高精度、高可靠方向研究,讲述了用单片机控制A/D转换、键盘输入和数据显示,对如何实现键盘中断、A/D采样进行研究。设计特别适用于测量精度要求较高的场合, 具有较高的实用价值和推广价值。本文中第一章讲述了电子秤的发展情况及其工作原理,第二章讲述了电子秤的硬件电路组成部分,第三章介绍了电子秤各部分功能实现的软件设计。 1.1研究本文的意义 物料计量是工业生产和贸易流通中的重要环节。称重装置或衡器是不可缺少的计量工具。随着工农业生产的发展和商品流通的扩大,衡器的需求也日益增多,过去沿用的机械杠杆秤己不能适应生产自动化和管理现代化的要求。自六十年代以来,由于传感器技术和电子技术的迅速发展,电子称重技术日趋成熟,并逐步取代机械秤。尤其是七十年代初期,微处理机的出现使电子称重技术得到了进一步的发展。快速、准确、操作方便、消除人为误差、功能多样化等方面已成为现代称重技术的主要特点。称重装置不仅是提供重量数据的单体仪表,而且作为工业控制系统和商业管理系统的一个组成部分,推进了工业生产的自动化和管理的现代化,它起到了缩短作业时间、改善操作条件、降低能源和材料的消耗、提高产品质量以及加强企业管理、改善经营管理等多方面的作用。称重装置的应用已遍及到国民经济各领域,取得了显著的经济效益。同时对称重仪表的要求也越来越高,要求仪表有更高抗干扰能力、更高的精度。 基于电子秤的现状,本文拟研究一种用单片机控制的高精度数字电子秤设计方案。这种高精度数字电子秤计量准确、携带方便,集质量称量功能与价格计算功能于一体,能够满足商业贸易和居民家庭的使用需求。 1.2 电子秤的发展 1.电子技术渗入衡器制造业 随着第二次世界大战后的经济繁荣,为了把称重技术引入生产工艺过程中去,对称重技术提出了新的要求,希望称重过程自动化,为此电子技术不断渗入衡器制造业。在1954年使用了带新式打印机的倾斜杠杆式秤,其输出信号能控制商用结算器,并且用电磁铁机构与代替人工操作的按键与办公机器联用。在1960年开发出了与衡器相联的专

电子时钟课程设计_数电课程设计数字电子时钟的实现

电子时钟课程设计_数电课程设计数字电子 时钟的实现 课程设计报告设计题目:数字电子时钟的设计与实现班级: 学号: 姓名: 指导教师: 设计时间: 摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。通过仿真过程也进一步学会了Multisim 7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输 出方式灵活,如可以随意设置时、分、秒的输出,定点报时。由于集 成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、 性能稳定、维护方便等优点。 关键词:数字钟,组合逻辑电路,时序电路,集成电路目 录摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1设计任务 (4) 2.2设计要求 (4) 第3章系统设计 (6) 3.1方案论证 (6) 3.2系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1 单元电路工作原理 (8) 3.3.2 元件参数选择···································14 第 4章软件仿真 (15) 4.1仿真电路图 (15) 4.2仿真过程 (16)

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

电子综合课程设计_多功能数字电子时钟

题目班级学号 多功能数字电子时钟************** ************ ****** 指导时间 ********** 2010 年12月18日瓷学院

电工电子技术课程设计任务书

目录 1、总体方案与原理说明................................... .. (1) 2、单元电路1 ——单片机最小系统 (3) 3、单元电路 2 ——指示灯与数码管显示电 路. . . . . . . . . . . . . . . . . . . . . . 5 4、单元电路 3 ——键盘检测电 路 (7) 5、单元电路4 ——A T 2 4 C 0 2 存储电 路 (9) 6、总体电路原理相关说 明 (11) 7、总体电路原理

图 (13) 8、PCB印制电路板图 (14) 9、元件清 单............................................................. . . . . . . . . . . . . . . . . . . . 1 5 10 、参考文 献 (16) 11、设计心得体 会.............................................................. . . . . . . . . . . . . . . . 1 7 12 、附件: C 源程 序.............................................................. 18

1、总体方案与原理说明 图1:作品总体框图 这是一个具有时间、日期、秒表、闹铃以及断电储存数据功能的多功能数字电子时钟。它主要由以下几部分组成:单片机最小系统;指示灯及数码管显示电路;按键电路;以及AT24C02存储电路。整机的逻辑框图如右图所示: 本时钟的主控芯片是一台AT89S51单片机,AT89S51是一个低功耗,高性能CMOS 啦单片机,片含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATME公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片集成了通用8 位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S51可为许多嵌入式控制应用系统提供高性价比的解决方案。 AT89S51具有如下特点:40个引脚,4k Bytes Flash片程序存储器,128 bytes 的随机存取数据存储器(RAM,32个外部双向输入/输出(I/O )口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT电路,片时钟振荡器。此外,AT89S51设计和配置了振荡频率可为0Hz并可通过软件设置省电模式。空闲模式下,CPU暂停工作,而RAM定时计数器,串行口,外中断系统可继续工作,掉电模式冻结振荡器而保存RAM勺数据,停止芯片其它功能直至外中断激活或硬件复位。同时该芯片还具有PDIP、TQFP 和PLCC等三种封装形式,以适应不同产品的需求。 整个电路由一台单片机和一些外围电路组成。它的计时采用单片机部的定时器,其晶振频率为11.0592MHz其主要功能都是通过C语言编程来实现的。其显示部分用四位

数字电子钟课程设计方案实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号:1405024119 李子鹏学号:1405024125 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日

课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号:1405024119 李子鹏学号:1405024125 指导教师:姚爱琴 2017 年 1 月 6 日

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号:1405024119 李子鹏学号:1405024125 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.1秒信号电路 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.4校时电路 (9) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12)

相关主题
文本预览
相关文档 最新文档