当前位置:文档之家› 可以调控的走马灯

可以调控的走马灯

可以调控的走马灯
可以调控的走马灯

可以调控的走马灯

一、课题的研究意义和目的

1、通过本实验的设计初步了解单片机工作原理和各功能端口的相关设置;

2、掌握PROTEUS软件的安装和配置过程;

3、学会绘制电路原理图;

4、了解装载程序和调试;

5、PROTEUS VSM 与uVision3的联调;

6、用单片机仿真软件,并进行调试;

7、掌握单片机相应的编程步骤,了解走马灯相关的工作流程;

8、熟悉KEIL\PROTEUS等相关软件的使用。

二:方案论证

方案一:单片机控制16只LED按8种不同的模式滚动显示,模式选择由K1健控制,加速与减速滚动由K2健与K3健控制。

显示效果使用16个LED;设置三个按钮,K1为模式健,通过按键调整显示结果,要求有八种模式;;K2为加速键,提高走马灯显示效果的速度;K3为减速健,放慢走马灯的显示效果速度。8种模式通过一个共阳极数码管显示出来,比如,走马灯的显示效果模式为一时,数码管显,输出用示数字“1”。

方式二:单片机用六只开关控制6只LED灯。

用P0端口作输入,P1端口作输出,程序循环从P0端口读入数据,从P1端口输出,输入端口的6个端口接开关接口,共有6种模式,输出6个接口接LED灯。

方式一与方式二相比较,方式一显示结果比较明显,模式比较多,开关使用相对少得多,所以采用第一种。

三:系统硬件设计

选用AT89C52芯片, AT89C51是一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS8位微处理器。

焊接电路时先用万用表检测AT89C52的端口与接地以及其他端口是否有短路现象,检测确认线路安全之后再接到电路上,以免烧坏硬件。

引脚说明:

VCC:供电电压。

GND:接地。

P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL 门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址

数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

P3口也可作为AT89C51的一些特殊功能口:

P3.0 RXD(串行输入口)

P3.1 TXD(串行输出口)

P3.2 /INT0(外部中断0)

P3.3 /INT1(外部中断1)

P3.4 T0(记时器0外部输入)

P3.5 T1(记时器1外部输入)

P3.6 /WR(外部数据存储器写选通)

P3.7 /RD(外部数据存储器读选通)

P3口同时为闪烁编程和编程校验接收一些控制信号。

RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE 端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时,ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。

/PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。

/EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。

XTAL2:来自反向振荡器的输出。

四、个功能模块设计

1、显示电路

显示电路主要有单片机P1口、P3口和16个发光二极管构成。由于P1、P3口得输出是低电平有效,需要通过电源与二极管和电阻相连再连到单片机P1口和P3口,这样当某个输出为低电平时对应的二极管发光,显示电路如图所示。

2、时钟电路

单片机执行指令是在脉冲控制下进行的,因此时钟信号是单片机的基本工作条件。本设计如图所示,定时元件通常采用振荡器和电容组成的并联谐振电路。X1为振荡器,C1、C2为电容。振荡的主要频率

决定于晶振,电容对振荡频率起微调作用。其中,晶振选择11.0952MHZ.

3、复位电路

一个时钟周期为振荡周期的2倍,12个时钟周期构成一个机器周期。本设计如图所示,在RES引脚上输入一个超过两个机器周期的高电平信号,单片机就可以复位。时钟频率为11.0952MHZ,则有效的复位信号至少应保持2US以上。

4、数码显示电路

数码显示器件是一种八个LED发光二极管组合显示字符的显示器件,其中七个用于显示字符,一个用于显示小数点,故通常称为七段LED数码显示器。

本电路中共阳极接法,把七段发光二极管的阳极连在一起构成公共阳极,使用时阳极接+5V电源,每个发光二极管的阴极通过电阻与输入端连接,阴极输入低电平时,发光二极管,输入高电平则不亮,连接方法如图;

五:系统软件设计

根据实验原理与实验电路,编写C语言程序,程序见附录

六:软硬件电路联调

双击图标进入Keil uVision21编程环境,输入程序,返回桌面双击图标,进入PROTEUS仿真环境,点击左上角选项P后调出所需元件画好硬件电路图,存盘后再点击调试菜单项,激活使用远程调试设备,然后切换到Kile uVision21 编程环境中,选中probject ——select device for target ‘target1 ’——AT89C52 确定,后又probjet ——option device for target ‘target1 ’——debug ——proteus6.9 ——确定。得到调试结果。

调试完成后直接运行,即可看到16只LED灯得走马灯效果。通过这个仿真可以直观看到按键对程序的控制、走马灯运行的结果、效果非常好。本课程设计用到了单片机定时器中断等硬件功能,同时涉及到软件查询定时、中断程序、按键控制、数码管动态显示等主要程序的设计方法。程序开始使P1口为低电平,熄灭各LED,随后系统进入键盘检测循环,当检测到某个键被按下,则转到该健对应的程序处执行。按键处理执行程序各自的功能后,每循环一次检测S1是否按下,如果按下,各LED熄灭,重新进入检测循环,否则继续循环。

七、硬件电路仿真电路图如图所示。

仿真过程:

模式0:从左到右循环逐个点灭模式1:从右到左循环逐个点灭模式2:四个灯循环点亮

模式3:六个灯循环点灭

模式4:四个灯循环点灭

模式5:循环逐个点灭模式6:循环逐个点亮模式7:循环点亮

此程序是用单片机的p1口接八个led灯作跑马灯试验

拆字程序 Org 0000h Mov A , 2000H Add A ,#F0H MOV 2001H ,A MOV A ,2000H ADD A , #0FH MOV 2002H , A MOV A , 2001H ADD A , 2002H END 拆分BCD 码 ? *************************************************************************** ;此程序是用单片机的p1口接八个led灯作跑马灯试验,八个led依次亮了又熄灭,形成漂亮;的跑马灯。本人已经试验成功。 ;单片机教程网https://www.doczj.com/doc/7c4572612.html, 原创

;该8路单片机跑马灯程序代码简单,电路也容易搭建,只需把led接在p1口上就可以了,希望大家能试验成功顺利的完成跑马灯报告 ;*************************************************************************** org 0000h loop0:cjne r0 ,#01h,rel,loop0 ;判断开关打开情况 ajmp start;跳转到程序开始 org 0030h;定义起始汇编地址 start: mov a,#0ffh ; clr c ; mov r2,#08h ;循环八次。 loop: rlc a ;带进位左移。 mov p1,a ;此时led灯开始有反映了。 call delay ;延时 djnz r2,loop ;循环(djnz条件判断) mov r2,#07h ; loop1: rrc a ;带进位右移 mov p1,a ;此时led灯开始有反映了。 call delay ; djnz r2,loop1 ;反复循环 jmp start ;回到程序的开头 delay: mov r3,#20 ;延时子程序 d1: mov r4,#20 d2: mov r5,#248 djnz r5,$ djnz r4,d2 ```````````````````````````````````````````````---------3路单片机跑马灯程序---------------------------------------

可以调控的跑马灯

单片机原理及应用课程设计 ( 2013 年至2014学年度第 2 学期) 题 目: 可以调控的走马灯 学 生 姓 名: 院(系、部): 机电工程学院 指 导 教 师: 设 计 时 间: 2014.6.9—2014.6.13 Hebei Normal University of Science & Technology 专业: 电子信息工程 学号: 0414110102

可以调控的走马灯 摘要:单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快,而51单片机是各单片机中最为典型和最有代表性的一种。本课程设计是基于MCS51系列单片机所设计的,用AT89S52芯片控制跑马灯(流水灯),整个系统有8种跑马灯模式可以选择,K1是选择模式键,并将相应的模式在LED七段数码管中显示出来,K2可以对跑马灯的速度进行加速,K3可以对跑马灯的速度进行减速。整个系统可以实现对跑马灯模式的多层控制,还可以进行加减速。 关键词:MCS51、跑马灯、加减速、七段数码管 一、概论 1.1 单片机基础知识 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。概括的讲,一块芯片就成了一台计算机。 单片机具有体积小、功能强、应用面广等优点,目前正以前所未见的速度取代着传统电子线路构成的经典系统,蚕食着传统数字电路与模拟电路固有的领地。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机了解计算机原理与结构的最佳选择。 现在,这种单片机的使用领域已十分广泛。彩电、冰箱、空调、录像机、VCD、遥控器、游戏机、电饭煲等无处不见单片机的影子,单片机早已深深地融入我们每个人的生活之中。单片机能大大地提高这些产品的智能性,易用性及节能性等主要性能指标,给我们的生活带来舒适和方便的同时,在工农业生产上也极大地提高了生产效率和产品质量。单片机按用途大体上可分为两类,一种是通用型单片机,另一种是专用型单片机。 MCS-51单片机是美国INTEL公司于1980年推出的产品,与MCS- 48单片机相比,它的结构更先进,功能更强,在原来的基础上增加了更多的电路单元和指令,指令数达111条,MCS-51单片机可以算是相当成功的产品,一直到现在,MCS-51系列或其兼容的单片机仍是应用的主流产品,各高校及专业学校的培训教材仍与MSC-51单片机作为代表进行理论基础学习。MCS-51系列单片机主要包括8031、8051和8751等通用产品。 1.2 单片机的发展趋势 单片机现在可以说是百花齐放,百家争鸣的时期,世界上各大芯片制造公司都推出了自己的单片机,从8位、16位到32位,数不胜数,应有尽有,有与主流C51系列兼容的,也有不兼容的,但它们各具特色,互成互补,为单片机的应用提供了广阔的天地。现在常规的

跑马灯课程设计报告

课程设计报告 课题名称基于AT89S51的跑马灯设计 系别机电系 专业 班级 学号 学生姓名 指导教师 完成日期2010年月 教务处制 基于AT89S51的跑马灯设计 一、设计任务与要求 1.设计任务 选择采用AT89S51、74LS245、ULN2803、LED等器件,使用汇编语言实现各种跑马灯动态显示效果设计。 2.设计要求 实现16个LED的全亮、全灭、交错显示、流水灯显示等。可结合灯的排布以及程序设计实现各种跑马灯动态显示效果。三个输入按键,按键S2时,LED 灯亮点依次流动;按键S3时,依次点亮LED灯;按键S4时,LED灯交错点亮。 3.设计目的 通过本课程设计掌握单片机系统设计思路和基本步骤;掌握LED驱动电路、延时程序和按键处理程序设计。能熟练使用Wave6000软件、编程器或下载线。熟悉Keil、PROTEUS、Protel99se等相关软件的使用。 二、方案设计与论证 在日常生活中,我们总是花样百出的流水灯光,随着电子技术的飞速发展,人们对灯的花样要求也就更多,如全亮、全灭、交错显示、流水灯显示等。因此,跑马灯得到了广泛的应用。 一个由单片机控制的较简单的数字钟由电源电路、控制电路、驱动电路、显示电路4部分组成。

1. 控制电路 控制电路时整个电路的核心,主要由单片机 来完成。AT89S51单片机的管脚图如图(1)所示。 单片机执行指令是在时钟脉冲控制下进行的,因 此,单片机必须外接振荡器构成时钟电路才能正 常工作。另外,还应该在单片机的RES端外接电 阻电容构成复位电路,当单片机运行错误时可以 给一个复位信号使其复位。 单片机的对接口电路的控制是由软件向单片 机的I/O口(即P0~P3口)来实现的。AT89S51 单片机内部由两个定时/计数器,可以用其中一个 定时/计数器来对时间进行计数,而另一个可以对 显示器的显示延时进行定时并通过中断把相应的 数据通过I/O 口送给显示器显示。同时,通过对图1 A T89S51管脚图 外部按键的状态判断来进行时间的调整。 2. 显示电路 作为显示电路,采用16个LED灯来进行显示,实现全亮、全灭、交错显示、流水灯显示等。 3. 驱动电路 由于单片机的I/O口输出电流比较弱,不够驱动一位LED数码管,因此,必须在I/O 口和LED数码管之间接一驱动器和限流电阻来驱动LED数码管。 4. 电源电路 由于外部的干扰如电压、电流的波动可能造成直流电源的不稳定,因此,可在电源两端接上滤波器来降低外部干扰对电源造成的影响。 三、单元电路设计 1.时钟电路 单片机执行指令是在时钟脉冲控制下进行的,因此时钟信号时单片机的基本工作条件。可以通过测量第30脚ALE是否有输出时钟脉冲的六分频信号来判断时钟信号是否正常。 时钟可以由内部和外部两种方式产生,本设计采用内部方式。如图(2)所示,在XTAL1和XTAL2引脚上外接定时元件。定时元件通常采用振荡器和电容组成的并联谐振电路。X1为振荡器,C1、C2为电容。振荡器的振荡频率主要取决于晶体,电容对振荡频率由微调作用。外接晶体振荡器时,电容值可选在30pF左右。 图2 内部时钟电路图3 电源滤波电路2.电源电路 如图(3)所示,为了提高电源的稳定,由两个电容并联连接电源两极构成电源滤波电路。C4电容值比较大,用于滤低频;C5容值比较小,用于滤高频。 3.复位电路 一个时钟周期为振荡周期的2倍,6个时钟周期构成一个机器周期,即12个时钟周期构成一个机器周期。在RES引脚上输入一个超过两个机器周期的高电平信号,单片机就可以复位。如时钟频率为12MHz,则有效的复位信号至少应保持2μs以上。 复位电路可以有两种方式:上电复位电路和外部按键复位电路。图(4)所示为单片机的上电复位电路。在上电瞬间,因为电容两端的电压不能突变,RST引脚上电位与Vcc相同。随着电容器充电过程的进行,RST引脚上的电位逐渐下降。只要适当选择C和R的数值,即可顺利实现复位操作。

跑马灯控制

太原理工大学 单片机原理与应用技术课程实验报告 专业班级 学号 姓名 指导教师

跑马灯控制 一、实验目的 (1)进一步熟悉Keil和Proteus软件的操作,掌握快速复制元器件的操作方法; (2)掌握利用多路LED实现跑马灯控制的原理; (3)掌握循环移位、查表的编程方法。 二、实验硬件和软件 计算机1台,并安装Proteus软件和Kei C51软件。 三、实验任务 实现跑马灯控制效果,八个发光二极管L1-L8分别依次点亮,时间间隔 0.2S,点亮顺序为:L1→L2→…→L8→L7→L6→…→L1亮,重复循环。 四、实验电路及分析 实验电路如图所示,分析可知当P1.0-P1.7端口输出“0”时,发光管点亮;当P1.0-P1.7端口输出“1”时,发光管熄灭。 跑马灯仿真电路图

五、实验程序编写 1.跑马灯控制分析 根据跑马灯的控制要求,P1.7-P1.0输出状态如下表所示,P1口输出值从0XFE开始,循环左移7次后变为0X7F,然后循环右移7次变为0XFE,移位操作之间延时0.2S,循环左移的终止状态是循环右移的初始状态,注意该状态持续时间仍为0.2S,不是0.4S ,以上过程重复循环。 P1口输出状态表 2.C语言程序 #include #include void Delayms(unsigned int n) { unsigned int i, j; for(j=n; j>0;j--) for(i=112; i>0; i--); } int main( ) { unsigned char n;

unsigned char temp; while(1) { temp=0xfe; P1=temp; for(n=7;n>0;n--) { temp=_crol_(temp,1); Delayms(200); P1=temp; } for(n=7;n>0;n--) { temp=_cror_(temp,1); Delayms(200); P1=temp; } } } 3.程序流程图 六、实验步骤 1.利用Proteus软件绘制仿真电路图 (1)打开Proteus软件,File→New Project进入工程创建向导,选择项目文件存放路径,项目文件名为“实验2.pdsprj”。 (2)创建原理图(schematic),默认模板为default,可根据电路规模选择

跑马灯程序

//产生四种亮灯方式的跑马灯 module zmk(mclk,reset,type,ledout); input mclk,reset; input[1:0] type; output [5:0] ledout; reg [5:0] ledout; reg [24:0] count; reg [4:0] state; wire clk; //分频器 always@(posedge mclk) count=count+1; assign clk=count[23]; //当type变化的时候对state进行清零 reg[1:0] type_delay; wire type_change; always @ (posedge clk) type_delay <= type; assign type_change=(type!=type_delay)?1:0; always @ (posedge clk ) begin if(reset==0) //初始化语句begin ledout=6'b000000;state<=0;end else if(type_change)//对state进行清零 state<=4'b1; else if(type==2'b00) begin case(state) 4'b0001:ledout=6'b011111;//第一个灯亮 4'b0010:ledout=6'b101111;//第二个灯亮 4'b0011:ledout=6'b110111;//第三个灯亮 4'b0100:ledout=6'b111011;//第四个灯亮

4'b0101:ledout=6'b111101;//第五个灯亮 4'b0110:ledout=6'b111110;//第六个灯亮 4'b0111:ledout=6'b111101;//第五个灯亮 4'b1000:ledout=6'b111011;//第四个灯亮 4'b1001:ledout=6'b110111;//第三个灯亮 4'b1010:ledout=6'b101111;//第二个灯亮 default :ledout=6'b000000; endcase state=state+1; //计数器产生state的各种状态if(state==4'b1011)state=5'b00001; end else if(type==2'b01) begin case(state) 4'b0001:ledout=6'b101010; 4'b0010:ledout=6'b010101; default :ledout=6'b000000; endcase state=state+1; if(state==4'b0011)state=5'b00001; end else if(type==2'b10) begin case(state) 4'b0001:ledout=6'b110011; 4'b0010:ledout=6'b101101; 4'b0011:ledout=6'b011110; 4'b0100:ledout=6'b101101; default :ledout=6'b000000; endcase state=state+1; if(state==4'b0101)state=5'b00001; end else if(type==2'b11) begin case(state) 5'b00001:ledout=6'b111110;

PLC跑马灯

PLC 跑馬燈 組員姓名:吳宜倫、賴信仁、許育瑋、高培元 德霖技術學院機械工程系夜二技095A 指導老師:藍天雄老師 摘 要 PLC 是一種具有微處理機的數位電子設備,可以將控制指令隨時載入記憶體內儲存與執行可程式控制器由內部CPU ,指令及資料記憶體,輸入輸出單元,電源模組,數位類比通信單元所組成。 PLC 的組成簡介 CPU 中央處理單元:包含CU.ALU.暫存器三大部分。 記憶體:存放程式指令及資料的地方,可以使用RAM 或EEPROM 。 輸入輸出單元:輸入單元是用來連結擷取輸入元件的信號動作,並透過內部匯流排將資料送進記憶體由CPU 處理驅動程式指令,部分輸出單元是用來驅動外部負載的介面。主要原理是由CPU 處理以書寫在PLC 裡的程式指令判斷驅動輸出單元在進而控制外部負載,如指示燈、接觸器、繼電器、閥。 AD/DA 類比數位單元(線性控制):AD 類比轉數位主要是把外部微電壓微電流以及0與1的資料透過專用模組接面接收,再以專用指令轉換運算給程式運用DA 數位轉類比,主要是把PLC 內部數學數值及專用指令以CPU 做運算,並透過專用模組將數學術值轉微電壓微電流信號再加以控制外部設備。如變頻器、溫控器包含其他具有數位類比收送的介面設備… 通信單元:通信單元主要可以區分為程式書寫通信PORT 及外部資料控制擷取PORT 。 圖1主機板外形 圖2設定說明 實驗動機: 1、 了解PLC 是什麼?為什麼PLC 越來越多人使用,它的使用率很高,在社會越來越講求自動化的需求下,它使人們重視它。 2、 首先我們要認識PLC 的內部結構,它可以 說是一部小型電腦,可以應用在許多地方, 如跑馬燈系統、紅綠燈系統、顯示器系統等等。而我們製作了跑馬燈系統,簡單介紹了PLC 和應用在跑馬燈系統,使大家能了解一點PLC ,而在了解一點後,本組開始找尋材料,找到一家專業的PLC 廠商,跟他洽詢,而找到材料,可是我們所懂的不多,組裝方面廠商幫助我們許多,使我們才可以完成作品。

微机原理————走马灯实验设计

微型计算机技术课程设计 指导教师:赵立辉李鹏 学生班级:计科10802 学生姓名:陈东 学号:200803939 班内序号:11

目录1.……………………………………………………….课设目的2.………………………………………………………课设内容3.…………………………………………问题分析及设计思路4.…………………………………………电路设计及功能说明5.………………………………硬件原理图及接口芯片的介绍6.……………………………………程序流程图及相关源程序7. …………………………………………………实验结果及分析8.…………………………………………………………课设总结

一.课设目的 1.通过本设计,使学生综合运用《微型计算机技术》、《汇编语言程序设计》以及电子技术等课程的内容,为以后从事计算机检测与控制工作奠定一定的基础。 2.主要掌握接口芯片8253、8255A等可编程器件的使用,掌握译码器74LS138的使用。 3.学会用汇编语言编写一个较完整的实用程序。 4.掌握微型计算机技术应用开发的全过程:分析需求、设计原理图、选用元器件、布线、编程、调试、撰写报告等步骤。二.课设内容 1.功能要求: 采用8253设计一个1s定时电路,将定时信号接到8255的PC 口的某一位,编程查询该位的状态,若为低电平,则从8255A的PA口输出不同的值使和PA口相接的发光二极管依次变化,实现走马灯的效果。按下Esc键结束程序。 2.设计所需器材及介绍 ①一块实验面包板(内含时钟信号1MHz或2MHz)。 ②可编程芯片8253、8255A、74LS138、74LS245各一片。74LS06两片,发光二极管8个,排电阻一个。 ③导线若干。 ④示波器、万用表、常用工具等共用(从实验室现借现还)

基于labview跑马灯设计

选题分析: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰已经成为一种时尚。 跑马灯是一种生活中比较常见的装饰,本文主要通过labview来设计了一个相对简单的对跑马灯的控制,实现了其有规律的亮灭,带来一定的观赏效果。 本文主要是实现了跑马灯的单个流水闪烁、 双路同步流水闪烁 、四路同步流水闪烁、全体同步闪烁,以此循环。本程序并控制闪烁的间隔时间,使其运行更具可观性。 方案设计: 本文主要设计了12个显示灯,并让其方形围成一圈。 运行效果: 单个流水闪烁:单个灯依次轮流闪烁 双路流水同步闪烁: 相对两灯同时依次轮流闪烁 四路同步流水闪烁:等间距四灯依次轮流闪烁 全体同步闪烁:全体灯同时闪烁 运行步骤: 单个流水闪烁→ 全体同步闪烁 → 双路流水同步闪烁

↑ ↓ 全体同步闪烁←四路同步流水闪烁←全体同步闪烁 以此循环。 运行控制: 直接点击labview运行按钮进行跑马灯演示。 开关:用于结束当前操作,控制其关断。当开始运行程序时也可通过关 断开关了结束程序的运行。 水平指针滑动杆:用于调节彩灯间的延时时间。通过其可调整灯闪烁的 快慢。 前面板的设计: 前面板主要由12个指示灯、一个开关及水平指针滑动杆构成。 水平指针滑动杆——用于调节彩灯间的延时时间。 指示灯——用以显示程序运行结果。 开关——用于结束当前操作。 对于前面板的设计相对简单,通过开关来控制其关断,水平指针滑动杆 来控制其延时时间,指示灯显示程序运行的结果,观看到跑马灯的演示 情况。

图1. 前面板 程序框图的设计: 设计思路: 本程序主要用到平铺式顺序结构和层叠式顺序结构顺序执行。 本程序用真假常量来控制灯亮与不亮。 本程序还用到了while循环和for循环,循环是用于达到闪烁和同步递进循环。 整个程序几乎每一帧都用到了延时,单位是毫秒,延时的目地是使本程序更具有可观性。

div实现向左右无缝滚动图片效果(跑马灯)

div实现向左右无缝滚动图片效果(跑马灯) div+css学习笔记22(用div实现向左无缝滚动图片效果)JavaScript实现走马灯效果[无缝连接、循环滚动] 无缝跑马灯效果以下代码在IE6、Firefox+Win2k环境下测试通过网页走马灯连续循环滚动废话少说,代码贴出来:<div id="imgmarquee" style="OVERFLOW: hidden; WIDTH: 580px; align: left; background-color:#0099CC;"> <div style="width:1200px"><!--id="marquePic1"里面的宽度一定要大于id="imgmarquee"的宽度才能看到效果 width:600px;>WIDTH: 580px;大的div宽度要为span的两倍才可以,不然会换行width:1200px zdz的作品,流风的作品--> <span id="marquePic1" style="width:600px; background-color:#990033;"> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> </span>

跑马灯控制(定时中断应用)

江 苏 省 盐 城 技 师 学 院 教 案 首 页 编 号:YJQD-0507-07 版 本:B/O 流水号: 编 制: 审 核: 批 准: 课题: 跑马灯控制(定时中断应用) 教学目的、要求:1、学习使用定时/计数器实现精确延时。 2、 熟练掌握移位指令。 教学重点、难点:熟练掌握移位指令 授课方法: 启发式教学、任务驱动型教学、实验教学 教学参考及教具(含电教设备):高职高专规划教材《单片机原理与控制技术》、多 媒体设备、DVCC 系列单片机微机仿真实验系统实验指导书。 授课执行情况及分析: 板书或教学设计: 授课日期 班 级 课题:跑马灯控制(定时中断应用) 一、知识回顾 二、任务引入 三、任务分析 四、相关知识 (1)电路功能 (2)硬件电路 (3)控制程序 五、任务实施 六、任务拓展 七、巡回指导 八、结束指导

课题 跑马灯控制(定时中断应用) 课前准备: 1、DVCC 系列单片机微机仿真实验系统 2、安装有DVCC 实验系统软件的计算机、多媒体投影仪 3、万用表及常用电工工具 组织教学: 检查学生人数、标志牌佩戴、工作服及工具准备情况。 知识回顾(复习提问): 定时中断的设定方法是什么? 任务引入: 开始时P1.0亮,延时0.2S 后,P1.1亮,如此左移7次后至P1.7再延时0.2S 右移至P1.6,如此右移7次至P1.0,循环显示。 任务分析: 一、硬件图 EA/VP 31X119X218 RESET 9RD 17WR 16INT012INT113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P20 21 P2122P2223P2324P2425P2526P2627P2728PSEN 29ALE/P 30TXD 11RXD 10Vss 20 Vcc 40U2 8051 R1200R2200R3200R4200R5200R6200R7200R8200 D1LED D2LED D3LED D4LED D5LED D6LED D7LED D8 LED T1XTAL C1 30p F C2 30p F a b f c g d e VCC 1234567a b c d e f g 8 dp dp 9 DS1 AMBERCA a b f c g d e VCC 1234567a b c d e f g 8dp dp 9 DS2 AMBERCA A B C D R910K R1010K R1110K R1210K +5V +5V R0110k +5V + C01 22u F 二、功能说明

单片机跑马灯c语言程序

#include //头文件 #define uchar unsigned char //宏定义 sbit Beep = P3^4; // 蜂鸣器 uchar code led[]={ 0xff,0xfe,0xfd,0xf7,0xef,0xbf,0x7f,0x00 }; /**********延时子函数************/ void delay(unsigned int time) { unsigned int i,j; for(i=0;i0;j-=2) { P0 = led[j]; delay(500); } for(j=5;j>0;j-=2) { P0 = led[j]; delay(500); } } } /*****************计数器中断1***************/ void inttre() interrupt 3

{ unsigned int i,j; i=10; for(j=0;j<10;j++) { Beep=1; delay(i); Beep=0; delay(i); i+=60; } } /*******************外部中断1***************/ void inttrer() interrupt 2 { unsigned int i; for(i=1;i<7;i++) { P0 = led[i]; delay(500); } }

古代灯笼的制作方法

古代灯笼的制作方法 在古代的时候,人们用灯笼是为了照明;在现代生活中,灯笼是比较常见的物品,它不仅是为了照明,更多的是在节日里用来装饰和欣赏的传统工艺品,或者是在店里用灯笼来吸引顾客,获得客源等。灯笼有很多种类型,有吊灯、纱灯、宫灯、走马灯等。虽然很容易买得到灯笼,但是我们可以尝试自己做灯笼,那么古代灯笼要怎么做呢?制作古代灯笼的方法是什么? 第一种制作方法 材料:卡纸、介纸刀、直尺、铅笔、双面胶、线绳 步骤:1、准备一张长方形的红色卡纸我是用一张过期的旧月历卡。 2、将卡纸两边各留出0.5~1.0cm,按等分划好若干条平行线,然后用介纸刀切开。 3、卷一下使卡纸呈弧形。 4、对贴,边角料剪成细条作穗,再贴上线绳。 5、啊!漂亮的小灯笼就做好了。 第二种制作方法 第一步,制作骨架。纸灯笼比较简单的形状是立方体或圆柱体,最好选用可以弯曲的竹枝或竹皮搭成框架,衔接的地方用细线绑紧。如果不好找,细长条状的硬纸板和烧烤用的竹签也可以,结实程度和柔韧性会有所欠缺,但摆在室内也是很不错的装潢。 第二步,制作灯身。在文房四宝店买几张白色、红色的普通宣纸或者洒金宣纸,裁成符合灯笼骨架的长宽,就可以自行设计图案了。书法、绘画、剪纸,都可以在小小的灯笼上一展风采。糊好后,还可以用窄条的`仿绫纸上下镶边,看起来更为雅致,很像古式的宫灯。如果不太擅长书画,有一个简单的办法可供参考。用一张薄纸在字帖上描下想要的字样,再将这张薄纸和深红色宣纸重叠在一起,用单刃刀片将字迹挖掉。拿掉薄纸,红宣纸上就出现了镂空的字迹。用白色宣纸做灯身,红宣纸糊在里面,烛光或灯光从镂空处映射出来,效果相当漂亮。 第三步,制作光源。如果放在室内,只需要在灯笼里点一根普通蜡烛;如果想提着出去,最好用灯泡和电池做一个简单电路。也许看起来有一点点粗糙,但在元宵佳节里点上一盏自己手制的灯笼,定会别有一番风味吧! 第三种制作方法 1、准备好相应的材料和工具。卫生纸筒、皱纹纸、胶水、棉线、剪刀、打孔器等。

基于单片机的跑马灯控制器设计

专业课课程设计题目: 基于单片机的跑马灯控制器设计 院系名称:电气工程学院专业班级:电气F1105 学生姓名:学号:20112391 指导教师:邵教师职称: 评语及成绩: 指导教师: 日期:

目录 1 课题简介 (3) 1.1课题研究背景 (3) 1.2 国内外研究现状 (4) 1.3 本课题研究内容 (4) 2 系统总体设计方案 (5) 2.1 设计方案论证 (5) 2.2 系统结构及主要参数确定 (5) 3 软硬件电路设计与调试 (8) 3.1 硬件电路设计 (8) 3.1.1 基本原理 (9) 3.2 软件电路设计 (10) 3.3 软硬件电路调试 (13) 3.4 调试结果分析 (13) 结论 (13) 参考文献 (14) 附录 (15)

绪论 单片机诞生于20世纪70年代末,经历了SCM、MCU、SoC三大阶段。单片机是嵌入式系统的独立发展之路,向MCU阶段发展的重要因素,就是寻求应用系统在芯片上的最大化解决;因此,专用单片机的发展自然形成了SoC化趋势。随着微电子技术、IC设计、EDA工具的发展,基于SoC的单片机应用系统设计有较大的发展。因此,对单片机的理解可以从单片微型计算机、单片微控制器延伸到单片应用系统。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录象机、摄象机,以及程控玩具等等,这些都离不开单片机。 单片机最小系统是在以MCS-52单片机为基础上扩展,使其能更方便地运用于测试系统中,不仅具有控制方便、组态简单和灵活性大等优点,而且可以大幅度提高被测试的技术指标,从而能够大大提高产品的质量和数量。单片机以其功能强、体积小、可靠性高、造价低和开发周期短等优点,称为在实时检测和自动控制领域中广泛应用的器件,在工业生产中称为必不可少的器件,尤其是在日常生活中发挥的作用也越来越大。本毕业设计主要在STC89C52RC单片机上扩展I/O 口,复位电路,晶振电路,LED显示电路,数码管显示电路,蜂鸣器电路。适合于我们学生用于单片机的学习掌握和一些各种科研立项等的需求。因此,研究单片机最小系统有很大的实用意义。 1 课题简介 1.1课题研究背景

51单片机的音乐跑马灯设计

摘要 单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。 本设计使用AT89C52芯片,利用P0的8个端口连接8个发光二极管,P1的8个端口连接8个发光二极管,通过P0.0到P0.7的值和P1.0到P1.7的值控制“跑马灯”的亮灭,以达到显示效果。设计的中断程序要对多个按键动作进行响应,灯光变换的花样有15种,用模式按钮切换。按下模式按钮键,程序将按十五种模式切换,每按一次模式按钮键,切换一次跑马灯模式,而加速按钮和减速按钮可以改变闪烁速度;最后一种模式为音乐模式,加速按钮可切换音乐。 在单片机运行时,可以在不同状态下让跑马灯显示不同的组合,作为单片机系统正常的指示。当单片机系统出现故障时,可以利用跑马灯显示当前的故障码,对故障做出诊断。此外,跑马灯在单片机的调试过程中也非常有用,可以在不同时候将需要的寄存器或关键变量的值显示在跑马灯上,提供需要的调试信息。 关键词:音乐跑马灯;AT89C52单片机;74LS245驱动芯片;LED发光二极管

1 设计概述 (1) 1.1设计目的 (1) 1.2设计作用 (1) 1.3设计要求 (1) 1.4系统设计框图 (1) 2元器件介绍 (3) 2.1AT89C52单片机 (3) 2.2驱动芯片74LS245 (3) 2.3其他元件及功能 (4) 3 硬件电路设计 (6) 3.1单片机最小系统 (6) 3.2LED显示部分 (7) 3.3按钮控制部分 (7) 3.4数码管显示电路 (8) 3.5蜂鸣器部分 (8) 3.6系统总电路图 (9) 4 软件设计 (10) 4.1 程序流程图 (10) 4.2 程序设计 (10) 5 结束语 (32) 参考文献 (33)

跑马灯控制电路设计

HDL数字系统课程设计报告书 目录 一、设计目的 (2) 二、设计思路 (2) 三、设计过程 (2) 3.1、系统方案论证 (2) 3.2、程序代码设计 (3) 四、系统调试与结果 (5) 五、主要元器件与设备 (9) 六、课程设计体会与建议 (9) 6.1、设计体会 (9) 6.2、设计建议 (10) 七、参考文献 (10)

论文摘要: 共16个LED灯,连成一排,实现几种灯的组合显示。通过这次对跑马灯控制电路的设计与制作,了解了设计电路的程序,也了解了关于跑马灯工作的基本原理与设计理念,首先要将一个程序分成几个模块,分别调试每一个模块,当每个模块均能正常工作时,其次再将其都组合在一起再次调试与仿真,最后将程序下载到Altera公司ACEXTM系列EPEK30QC208-2芯片,观察程序是否能控制硬件的实现。此外,本实验也可通过EDA软件Quartus6.0和modelSim SE 6.0实现。 关键词: HDL 数字系统跑马灯设计

一、设计目的 1、熟悉Verilog HDL程序编程。 2、掌握Altera公司ACEXTM系列EPEK30QC208-2芯片的使用方法。 3、熟悉Quartus II 6.0和Modesim SE 6.0软件的使用。 4、了解16个数码管的显示原理和方法。 二、设计思路 1、编写跑马灯设计程序。 2、定义LED灯引脚分配。 3、设计状态控制。 4、下载到EPEK30QC208-2芯片上显示。 三、设计过程 3.1、系统方案论证 16位LED跑马灯设计框图如图1所示:

图1 LED跑马灯设计框图 3.2、程序代码设计 module paomadeng(rst,clk,sel,led); //端口定义,参数列表 input rst,clk; //rst复位,clk为4Hz的时钟信号input[1:0] sel; //sel 状态选择端口 output[15:0] led; //led 跑马灯显示 reg[15:0] led; reg[15:0] led_r,led_r1; reg cnt1,dir; //cnt1控制状态2 led灯的亮次数 reg[3:0] cnt2; //cnt2控制状态2 led灯的亮次数 reg[2:0] cnt3; //cnt3控制状态2 led灯的亮次数 always@(posedge clk) begin if(rst) begin cnt1<=0;cnt2<=0;cnt3<=0;dir<=0;end else case(sel) // LED按奇数,偶数依次显示 2'b00: begin led_r=16'b0101010101010101; if(cnt1==0)led<=led_r; else led<=led_r<<1; cnt1<=cnt1+1; end // LED顺序依次显示,顺序依次熄灭 2'b01: begin if(!dir) begin if(cnt2==0) begin led_r=16'b0000000000000001;led<=led_r;end else begin led<=(led<<1)+led_r;end if(cnt2==15) begin dir<=~dir;end

微机原理(基于PROTEUS的跑马灯系统设计及仿真)

学号: 课程设计 题目基于PROTEUS的跑马灯系统设计及仿真 学院自动化学院 专业自动化 班级 姓名 指导教师

2012 年 1 月12 日任务书

目录 引言 (1) 1总体方案论证 (2) 1.1功能分析 (2) 1.2系统连接图设计 (2) 1.2.1锁存控制电路 (5) 1.2.2可编程并行通信接口芯片8255A (6) 2程序流程图设计及其说明 (9) 3关键程序段落说明 (11) 3.1数据段定义 (11) 3.2程序初始化 (11) 3.3芯片初始化 (12) 3.4初始LED亮灭状态 (12) 3.5检测按键开关子程序 (12) 3.6延时程序片段 (14) 3.7灯光变换控制 (15) 4程序调试说明 (16) 5结果记录及分析 (17) 心得体会 (19) 参考文献............................................................................. 错误!未定义书签。

引言 微型计算机简称微机,由于具备人脑某些功能,所有又叫做微机。是由大规模集成电路组成的、体积较小的电子计算机。它是以微处理器为基础,配以存储器及输入输出接口电路和相应的辅助电路构成的裸机。把微型计算机集成在一个芯片上即构成单片微型计算机。学习微机原理与接口技术,主要容包括微型计算机体系结构、8086微处理器和指令系统、汇编语言、设计以及微型计算机各个组成部分,而其中很大一块就是汇编语言的学习。 汇编语言是面向机器的程序设计语言,也是利用计算机所有硬件特性并能直接控制硬件的语言。用汇编语言编写的程序由于目标程序占用存少,运行速度快,它有着高级语言不可替代的用途。因此,学习汇编语言是很必要的,通过学习汇编语言可以体会它的作用。 通过本次课程设计让我们进一步深入汇编语言的学习,掌握简单的接口设计技术,将理论知识联系实际,进一步学习微机原理与接口技术的相关知识,为以后深入学习打下良好的基础。

可以调控的走马灯设计

单片机技术课程设计说明书可以调控的走马灯设计 专业 学生姓名 班级 学号 指导教师 完成日期2011年 6 月20 日

目录 1概述 (2) 1.1单片机的发展和现状 (2) 2课题方案设计 (3) 2.1系统总体设计要求 (3) 2.2系统模块结构 (3) 3系统硬件设计 (3) 3.1总体设计 (3) 3.2单片机最小系统 (4) 3.3按键电路 (5) 3.4数码管显示电路 (5) 3.5发光二极管显示电路 (6) 4系统软件设计 (6) 5软硬件联调及调试结果 (7) 5.1软硬件调试中出现的问题及解决措施 (7) 5.2实物图 (8) 5.3调试结果 (9) 5.4各模式灯亮 (9) 结束语 (10) 参考文献 (11) 附录 (12) 附录1:基于单片机可以调控的走马灯原理图 (12) 附录2;基于单片机可以调控的走马灯PCB图 (13) 附录3:基于单片机可以调控的走马灯仿真 (14) 附录4:程序代码 (15) 附录5:元件清单 (20)

1概述 1.1单片机的发展和现状 单片机是所有微处理机中性价比最高的一种,随着种类的不断全面,功能不断完善,其应用领域也迅速扩大。单片机在智能仪表、实时控制、机电一体化、办公机械、家用电器等方面都有相当的应用领域。当前,8位单片机主要用于工业控制,如温度、压力、流量、计量和机械加工的测量和控制场合;高效能的16位单片机(如MCS-96、MK-68200)可用在更复杂的计算机网络。可以说,微机测控技术的应用已渗透到国民经济的各个部门,微机测控技术的应用是产品提高档次和推陈出新的有效途径。 纵观单片机的发展过程,可以预示单片机的发展趋势,大致有: 1.低功耗CMOS化 MCS-51系列的80C51推出时的功耗达120mW,而现在的单片机普遍都在100mW左右,随着对单片机功耗要求越来越低,现在的各个单片机制造商基本都采用了CMOS(互补金属氧化物半导体工艺)。CMOS虽然功耗较低,但由于其物理特征决定其工作速度不够高,而CHMOS则具备了高速和低功耗的特点,更适合于在要求低功耗像电池供电的应用场合。所以这种工艺将是今后一段时期单片机发展的主要途径。2.微型单片化 常规的单片机普遍都是将中央处理器(CPU)、随机存取数据存储(RAM)、只读程序存储器(ROM)、并行和串行通信接口,中断系统、定时电路、时钟电路集成在一块单一的芯片上,增强型的单片机集成了如A/D转换器、PMW(脉宽调制电路)、WDT(看门狗)、有些单片机将LCD(液晶)驱动电路都集成在单一的芯片上,这样单片机包含的单元电路就更多,功能就越强大。甚至单片机厂商还可以根据用户的要求量身定做,制造出具有自己特色的单片机芯片。 3.主流与多品种共存 现在虽然单片机的品种繁多,各具特色,但仍以MCS-51为核心的单片机占主流,兼容其结构和指令系统的有PHILIPS公司的产品,ATMEL公司的产品和中国台湾的WinBond系列单片机。以8031为核心的单片机占据了半壁江山,在一定的时期内,这种情形将得以延续,将不存在某个单片机一统天下的垄断局面,走的是依存互补,相辅相成、共同发展的道路。

跑马灯设计方案EDA课程设计方案

第一章设计内容与设计方案 1.1课程设计内容 控制8个LED进行花样性显示。 设计4种显示模式:s0,从左到右逐个点亮LED;s1,从右到左逐个点亮LED;s2,从两边到中间逐个点亮LED;s3,从中见到两边逐个点亮LED。 4种模式循环切换,复位键(rst)控制系统的运行停止。数码管显示模式编号。 可预置彩灯变换速度,4档快、稍快、中速、慢速,默认工作为中速。 1.2设计方案 在掌握常用数字电路功能和原理的基础上,根据EDA技术课程所学知识,以及平时实验的具体操作内容,利用硬件描述语言HDL,EDA软件QuartusⅡ和硬件平台cycloneⅡFPGA进行一个简单的电子系统设计,本次课程设计采用Verilog HDL硬件描述语言编写控制程序,应用Quartus Ⅱ软件实现仿真测试。采用FPGA芯片对LED灯进行控制,使其达到流水跑马灯显示的效果,LED灯采用共阳极接法,当给它一个低电平时,LED点亮,我们利用移位寄存器使各输出口循环输出高低电平,达到控制的目的。

2.1设计原理及设计流程 本次试验我所完成的内容是跑马灯的设计,下面我简单的进行一下原理的阐述。 跑马灯课程设计的要求是控制8个LED进行花样显示,设计四种显示模块:第一种显示是从左向右逐个点亮LED。第二种显示:从右向左逐个点亮LED。第三种显示:从两边向中间逐个点亮LED。第四种显示:从中间到两边逐个点亮LED。四种显示模式循环切换,并带有一位复位键控制系统的运行停止。为了完成要求的效果显示,由于要求比较简单,所以不用分为很多模块来具体控制,所以我先择利用移位寄存器来完成灯的点亮,我们将LED灯采用共阳极接法,当给于低电平时点亮,那么当我们需要点亮某位LED灯时,只需在该位上赋予低电平即可,比如:如果我们要实现8个数码灯从左到右依次点亮,那么我们就可以给这8个数码灯分别赋值10000000,经过一段时间的延时后再给其赋值01000000,再经过一段时间延时后再给其赋值00100000,依次类推,则最后一种赋值状态为00000001,这样就得到了相应的现象。同理,要实现数码灯从右向左依次点亮,从中间向两端依次点亮,从两端向中间依次点亮都可以采用这样赋值的方法。为了达到四种显示模式循环切换的目的,可以将以上的所有赋值语句以顺序语句的形式置于进程中,这样在完成了一种显示方式后就会自动进入下一种设定好的显示模式,如此反复循环。当需要程序复位时,只需按下rst键即可,程序不管走都那里,执行那条语句,只要确定复位键按下时,程序立刻返回到程序执行语句的第一步,程序接着进行新的循环点亮。

相关主题
文本预览
相关文档 最新文档