当前位置:文档之家› 数字电子技术基础--2009-2010(2)--48学时--A卷--试题与答案

数字电子技术基础--2009-2010(2)--48学时--A卷--试题与答案

数字电子技术基础--2009-2010(2)--48学时--A卷--试题与答案
数字电子技术基础--2009-2010(2)--48学时--A卷--试题与答案

华北电力大学(北京) _2009-2010学年第_2学期考试试卷(A)

(1)用代数法化简

=++F AB ABC C D (5分)

答案:

=++=++=++F AB ABC C D AB C C D AB C D

(2)用卡诺图化简(,,,)(0,2,8,9,10,11)(1,5)=+∑∑F A B C D m d (5分)

答案:

=+F AB B D

二、(9分)电路如题2图所示,设触发器初态为0,试画出电路在CP 信号作用下,写出各输出表达式,并画出各输出端波形。

题2图

答案:Q 1n+1=Q 1n ’ (1分) Q 2n+1=1 (1分) Q 3n+1

=D (1分) 波形各2分

三、(11分)逻辑电路如题3图所示,试分析其逻辑功能(包括逻辑表达式、真值表并说明其逻辑功能)。

题3图

)(C B A Y ⊕⊕=(1分) BC C B A BC C B A Z +⊕=⊕=)()((1分)

(8

分)

全减器(1分) 四、(12分)某物料传送系统示意图如题4图所示,由A 、B 、C 三台电动机拖动,为防止物料堆积,规定只有C 开机时B 才可开机,只有B 开机时A 才可开机,否则应给出告警信号。设A 、B 、C 开机时状态信号为1,设计产生告警信号的逻辑电路,所用门电路可任选。

题4图

约定:A 、B 、C 分别表示三台电动机,L 表示告警信号。电动机开机时用1表示,不开机时用0表示。有告警信号用1表示,无告警信号用0表示。(2分)

列真值表(8分)

(1分)

C B B A L +=

(1分)

五、(16分)请用D 触发器以及适当门电路设计一个同步时序电路,能够检测01序列,当序列到来时,要求输出为1,要求使用米里型电路并且状态最简。

六、(16分)试分析题6图所示时序逻辑电路的逻辑功能。说明电路是米里型还是莫尔型,列出它的输出方程、驱动方程和状态方程,列出状态表,画出状态图,说明电路功能,判断是否能自启动。

题6图

解:

(1)电路结构:同步莫尔型电路(1分) (2)输出方程:n n Q Q Z 01?=(2分)

驱动方程:n

Q D 01=(1分)

n

n Q Q D 010?=(1分) 状态方程:n

1

n Q Q 01

=+(2分)

n

n 1

n Q Q Q 010

?=+(2分)

(3)状态表:(2分)

(4

(5)电路功能:三进制计数器(2分) (6)判断自启动:可以自启动。(1分)

七、(10分)八选一数据选择器74X151的国标符号如题7图所示。用74X151实现四变量逻辑函数 BCD A D C B A ABD D B A D AB L ++++=。

题7图

答案:.令 A —A 2 B---A 1 D---A 0

有:C m C m m m m D B A L 31706),,(++++= 3分

数据选择器的输出为:∑=

7

012),,(i i

D m

A A A Y 1分

比较L 与Y 可知:1760===D D D D 2=D 4=D 5=0

C

D C D ==31 4分

题7答案图 -2分

(答案不唯一,选择不同的变量作为地址,那么它的数据端不同。) 八、(6分)集成计数器74X160的国标符号如题8图所示,用反馈清零的方法实现七进制计数器。要求:1.画出状态转换图;2.画出逻辑电路图。

题8图

答案:1 状态转换图:

------3分

2 画出逻辑电路图 012Q Q Q CR -------3分

题8答案图

九、(共5分)555定时器芯片的功能如题9表所示。

题9表

分析图6所示的555电路,要求:(1)说明555电路的功能(2分)(2)给出上限阈值电压和下限阈值电压的值(2分)(3)给定输入信号的波形,画出输出u o1的波形(2分)

题9图

答案:施密特触发器(2分),上限阈值电压=3V(1分),下限阈值电压=1.5V(1分)输出波形(2分)

十、(共5分)74147是优先编码器芯片,其功能表如题10表所示。74192是计数器芯片。针对题10图,试回答下列问题:

(1)画出计数器的状态图(1分)。

(2)74147芯片的输入信号是低电平有效还是高电平有效(1分)?74147芯片的哪个输入端的优先级别最高(1分)?

(3)画出在5个周期CP脉冲作用下Q2、Q1、Q0和D、C、B、A的波形图,要求从Q2Q1Q0=000开始(2分)。

题10表

题10图

答案

(1)状态图(1分)

(2)输入信号是低电平有效(1分)。10脚I9的优先级别最高(1分)。(3)(2分)

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础第五版

数字电子技术基础第五版习题解答: 本书是为配合清华大学电子学教研组编、阎石主编的《数字电子技术基础》(第五版)教材的使用而编写的习题解答。书中除包含有《数字电子技术基础》(第五版)全部习题的详细解答以外,还含有各章习题的分类以及每种类型题目的解题方法和步骤等内容。 数字电子技术基础(第5版): 数字电子技术基础(第5版)》是2006年高等教育出版社出版的图书,作者是阎石、清华大学电子学教研组。 内容简介: 本书是普通高等教育“十五”国家级规划教材。本书以前各版曾分别获得北京市教育教学成果一等奖、国家教委优秀教材一等奖、国家级优秀教材奖。 新版教材是在基本保持第四版教材内容、理论体系和风格的基础上,按照教育部2004年修订的“数字电子技术基础课程教学基本要求”修订而成的。本次修订除改写了部分章节外,还增加了硬件描述语言和EDA软件应用的基础知识。此外,还在多数小节后面增设了复习思考题。为了便于教学,也为了便于读者今后阅读外文教材和使用外文版的EDA软件,书中采用了国际上流行的图形逻辑符号。 全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。

本书可作为电气信息类、仪器仪表类各专业的教科书,也可供其他相关理工科专业选用以及社会选者阅读。 作者简介: 阎石,清华大学教授、全国高等学校电子技术研究会理事长。1937年生人。1958年毕业于清华大学自动控制系,其后一直在清华大学从事电子技术的教学与科研工作。曾任国家教委工科本科基础课程教学指导委员会第一、二届委员,华北地区高等学校电子技术教学研究会理事长。1989年与童诗白教授等一起获得普通高等学校优秀教学成果国家级特等奖。主编的《数字电子技术基础》第二版获国家教委优秀教材一等奖,第三版获国家优秀教材奖,第四版获北京市教育教学成果一等奖。 主要著作有:《数字电子技术基础》第一、二、三、四版,高等教育出版社分别于1981年、1984年、1989年、1998年出版;《电子技术基础学习指导》,辽宁科技出版社,1985年出版;《数字电子电路》,中央电大出版社,1993年出版;《数字电子技术荩础(第四版)教师手册》,高等教育出版社,2003年出版;《帮你学数字电子技术基础》,高等教育出版社,2004年出版。

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

最新数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

相关主题
文本预览
相关文档 最新文档