当前位置:文档之家› 计算机组成原理 单元测试3 参考答案

计算机组成原理 单元测试3 参考答案

计算机组成原理 单元测试3 参考答案
计算机组成原理 单元测试3 参考答案

《计算机组成原理》单元测试3 试题参考答案

一、单项选择题(每题1分,共45分)

1、CPU响应中断的时间是______。

A.中断源提出请求B.取指周期结束C.执行周期结束D.间址周期结束。

2、下列说法中______是正确的。

A.加法指令的执行周期一定要访存;

B.加法指令的执行周期一定不访存;

C.指令的地址码给出存储器地址的加法指令,在执行周期一定访存;

D.指令的地址码给出存储器地址的加法指令,在执行周期不一定访存。

3、DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作______。

A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA

4、总线通信中的同步控制是______。

A.只适合于CPU控制的方式;B.由统一时序控制的方式;C.只适合于外围设备控制的方式;D.只适合于主存

5、以下______是错误的。

A.中断服务程序可以是操作系统模块;

B.中断向量就是中断服务程序的入口地址;

C.中断向量法可以提高识别中断源的速度;

D.软件查询法和硬件法都能找到中断服务程序的入口地址。

6、在中断周期中,将允许中断触发器置“0”的操作由______完成。

A.硬件;B.关中断指令;C.开中断指令;D.软件。

7、水平型微指令的特点是______。

A.一次可以完成多个操作;

B.微指令的操作控制字段不进行编码;

C.微指令的格式简短;

D.微指令的格式较长。

8、以下叙述______是正确的。

A.外部设备一旦发出中断请求,便立即得到CPU的响应;

B.外部设备一旦发出中断请求,CPU应立即响应;

C.中断方式一般用于处理随机出现的服务请求;

D.程序查询用于键盘中断

9、DMA接口电路中有程序中断部件,其作用是______。

A.实现数据传送;B.向CPU提出总线使用权;C.向CPU提出传输结束;D.发中断请求。

10、CPU中的译码器主要用于______ 。

A.地址译码;B.指令译码;C.选择多路数据至ALU;D.数据译码。

11、CPU不包括______。

A.地址寄存器;B.指令寄存器IR;C.地址译码器;D.通用寄存器

12、存放当前欲执行指令的寄存器是______。

A.MAR;B.PC;C.MDR;D.IR。

13、在独立请求方式下,若有N个设备,则______。

A.有一个总线请求信号和一个总线响应信号;

B.有N个总线请求信号和N个总线响应信号;

C.有一个总线请求信号和N个总线响应信号;

D.有N个总线请求信号和一个总线响应信号。

14、DMA访问主存时,向CPU发出请求,获得总线使用权时再进行访存,这种情况称作______。

A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。

15、在CPU的寄存器中,______对用户是完全透明的。

A.程序计数器;B.指令寄存器;C.状态寄存器;D.通用寄存器。

16、三种集中式总线控制中,______方式对电路故障最敏感。

A.链式查询;B.计数器定时查询;C.独立请求;D.以上都不对。

17、以下叙述______是错误的。

A.一个更高级的中断请求一定可以中断另一个中断处理程序的执行;

B.DMA和CPU必须分时使用总线;

C.DMA的数据传送不需CPU控制;

D.DMA中有中断机制。

18、I/O与主主机交换信息的方式中,DMA方式的特点是______。

A.CPU与设备串行工作,传送与主程序串行工作;

B.CPU与设备并行工作,传送与主程序串行工作;

C.CPU与设备并行工作,传送与主程序并行工作;

D.CPU与设备串行工作,传送与主程序并行工作。

19、在计数器定时查询方式下,若计数从0开始,则______。

A.设备号小的优先级高;

B.每个设备使用总线的机会相等;

C.设备号大的优先级高。

D.每个设备的优先级是随机设置的

20、I/O采用不统一编址时,进行输入输出操作的指令是______。

A.控制指令;B.访存指令;C.输入输出指令 D. 转移指令

21、在中断接口电路中,向量地址可通过()送至CPU。

A.地址线;B.数据线;C.控制线;D.状态线

22、由于CPU内部操作的速度较快,而CPU访问一次存储器的时间较长,因此机器周期通常由______来确定。

A.指令周期;B.存取周期;C.间址周期;D.执行周期。

23、I/O采用统一编址时,进行输入输出操作的指令是______。

A.控制指令;B.访存指令;C.输入输出指令;D.程序指令。

24、总线的异步通信方式______。

A.不采用时钟信号,只采用握手信号;

B.既采用时钟信号,又采用握手信号;

C.既不采用时钟信号,又不采用握手信号;

D.采用时钟信号,不采用握手信号。

25、在三种集中式总线控制中,______方式响应时间最快。

A.链式查询;B.计数器定时查询;C.独立请求;D.以上都不是。

26、计算机操作的最小单位时间是______。

A.时钟周期;B.指令周期;C.CPU周期;D.执行周期。

27、程序计数器PC属于______。

A.运算器;B.控制器;C.存储器;D.I/O设备

28、______可区分存储单元中存放的是指令还是数据。

A.存储器;B.运算器;C.控制器;D.用户。

29、某机有四级中断.优先级从高到低为1---2---3---4。若将优先级顺序修改.改后1级中断的屏蔽字为1011,2级中断的屏蔽宇为1111,3级中断的屏蔽字为0011,4级中断的屏蔽字为0001,则修改后的优先顺序从高到低为。

A 3-2-1-4

B 1-3-4-2

C 2-1-3-4

D 2-3-1-4

30、下述I/O控制方式中,主要由程序实现的是___B___。

A. I/O处理机方式

B. 中断方式

C. DMA方式

D. 通道方式

31、CRT的分辨率为1024ⅹ1024像素,像素的颜色数为256,则刷新存储器的容量是___C___。

A.256KB B.512KB C. 1MB D. 8MB

32、下列不是影响流水线效率的是:

A.时间相关B. 结构相关C.数据相关D.控制相关

33、下列不会引起指令流水阻塞的是( )。

A.数据旁路

B.数据相关

C.条件转移

D.资源冲突

34、同步控制是______。

A 只适用于CPU控制的方式

B 只适用于外围设备控制的方式

C 由统一时序信号控制的方式

D 所有指令执行时间都相同的方式

35、为了便于实现多级中断,保存现场信息最有效的办法是采用______。

A 通用寄存器

B 堆栈

C 存储器

D 外存

36、中断向量地址是( )

A.子程序入口地址

B.中断服务程序入口地址

C.中断服务程序入口地址的地址

D.例行程序入口地址

37、以下论述正确的是( )

A.CPU响应中断期间仍执行原程序

B.在中断响应中,保护断点和现场由用户编程完成

C.在中断过程中,若又有中断源提出中断,CPU立即响应

D.在中断响应中,保护断点是由中断响应自动完成的

38、中断系统是( )

A.仅用硬件

B.仅用软件

C.软,硬件结合

D.以上都不对

39、DMA方式是在(B )之间建立直接的数据通路

A.CPU与外围设备

B.主存与外围设备

C.外设与外设

D.CPU与主存

40、DMA数据的传送是以( B )为单位进行的

A.字节

B. 字

C. 数据块

D.位

41、主机、外设不能并行工作的方式是()。A

A. 程序查询方式

B. 中断方式

C. 通道方式

D. DMA方式

42、设置中断排队判优逻辑的目的是(B)。

A.产生中断源编码

B.使同时提出的请求中的优先级别最高者,得到及时响应

C.使CPU能方便地转入中断服务子程序

D.提高中断响应速度

43、CRT 图形显示器的分辨率表示( B )。

A.一个图像点(像素)的物理尺寸

B.显示器一行能显示的最大图像点数与一列能显示的最大图像点数

C.显示器屏幕可视区域的大小

D.显示器能显示的字符个数

44、系统总线中地址线的功能是。

A.用于选择主存单元地址B.用于选择进行信息传输的设备

C.用于选择外存地址D.用于指定主存和I/O设备接口电路的地址

45、下面所列的不属于系统总线接口的功能。

A.数据缓冲B.数据转换C.状态设置D.完成算术和逻辑运算

二、填空题(每题1分,共20分)

1、在DMA方式中,CPU和DMA接口通常采用(停止CPU访问主存周期挪用交替访问主存)三种方法来分时使用主存。

2、总线控制包括判优控制和通信控制。

3、常见的CU控制方式有(同步控制)、(异步控制)、(联合控制)和(人工控制)四种。

4、微指令的编码方式又叫(微指令的控制方式)。

5、集中式总线仲裁有:独立请求链式查询计数器查询三种。

6、中断优先级分为响应优先级和处理优先级。

7、总线判优控制分为:集中式和分布式。

8、微指令格式分两个字段:操作控制字段和顺序控制字段

9、微指令的编码(控制)方式有:直接编码、字段直接编码字段间接编码混合编码三种。

10、微指令格式有水平型和垂直型。

11、主机和外设信息传送控制方式:程序查询方式、中断方式、DMA方式、通道方式和I/O处理机方式

12、接口通常应具有以下几个功能:选址功能、传送命令的功能、传送数据的功能和反映I/O设备工作状态的功能。

13、程序查询方式接口电路主要由下列组成:数据缓冲寄存器DBR、完成触发器D、工作触发器B、设备选择电路、命令译码部件。

14、程序中断方式接口电路的基本组成:数据缓冲寄存器DBR、完成触发器D、工作触发器B、设备选择电路、命令译码部件、中断请求触发器、中断屏蔽触发器、排队器和设备编码器。

15、中断处理过程分为:中断请求、中断判优、中断响应、中断服务和中断返回五个阶段。

16、一般中断服务程序的流程分四大部分:保护现场、中断服务、恢复现场和中断返回。

17、DMA 接口基本组成:主存地址寄存器AR、字计数器WC、数据缓冲寄存器BR、DMA控制逻辑、中断机构、设备地址寄存器DAR;

18、DMA 传送过程:预处理、数据传送、后处理三个阶段

19、总线特性:机械特性、电器特性、功能特性、时间特性。

20、中断隐指令完成的工作:保护程序断点寻找服务程序入口地址关中断

三、判断题(每题1分,共20分)

1、所有的数据传送方式都必须由CPU控制实现.( × )

2、外部设备一旦申请中断,便能立刻得到CPU的响应.( ×)

3、一个更高优先级的中断请求可以中断另一个中断处理程序的执行.( √ )

4、外部设备就是位于主机箱外面的设备。 F

5、为了保证中断服务程序执行完毕以后能正确返回到被中断的断点继续执行程序,必须进行现场保护操作.(√)

6、双总线结构的总线是指主存总线和I/O总线。√

7、中断级别最高的是不可屏蔽中断.( √ )

8、输入设备功能就是将程序输入到计算机中。F

9、程序中断方式一般适用于随机出现的服务.( √)

10、采用软件判断键是否按下的方法叫作编码键盘法。F

11、流水线中的相关问题是指在一段程序的相邻指令之间存在某种信赖关系,这种关系影响指令的执行。√

12、控制存储器是用来存放微程序的存储器,它比主存储器速度快。√

13、中断处理优先级一定和响应优先级相同。F

14、中断屏蔽字与中断源的优先级是一一对应的。√

15、端口是指接口电路中的一些寄存器。√

16、接口不具备选址功能。F

17、每种接口电路中,都包含命令寄存器以及命令译码器。√

18、关中断是在中断服务程序中用指令实现的。F

19、开中断必须在中断服务程序中恢复现场以后设置。F

20、三总线结构是指数据总线、地址总线和控制总线。F

四、综合题(共15分)

1、在一个32位的总线系统中,总线的时钟频率为66MHZ,假设总线最短传输周期为4个时钟周期,试计算总线的最大数据传输率。(5分)

解:总线传输周期=4*(1/66M)秒

总线的最大数据传输率=32/(4/66M)=528Mbps=66MBps

2、某计算机的CPU主频为500MHz,CPI为5(即执行每条指令平均需5个时钟周期)。假定某外设的数据传输率为0.5MB/s,采用中断方式与主机进行数据传送,以32位为传输单位,对应的中断服务程序包含18条指令,中断服务的其他开销相当于2条指令的执行时间。请回答下列问题,要求给出计算过程。(10分)

(1)在中断方式下,CPU用于该外设I/O的时间占整个CPU时间的百分比是多少?

(2)当该外设的数据传输率达到5MB/s时,改用DMA方式传送数据。假设每次DMA传送大小为5000B,

且DMA预处理和后处理的总开销为500个时钟周期,则CPU用于该外设I/O的时间占整个CPU 时间的百分比是多少?(假设DMA与CPU之间没有访存冲突)

(1)在中断方式下,每32位(4B)被中断一次,故每秒中断次数:0.5MB/4B=0.5×106/4=0.125×106次

因为中断服务程序包含18条指令,中断服务的其他开销相当于2条指令的执行时间,且执行每条指令平均需5个时钟周期,所以,1秒内用于中断的时钟周期数为

(18+2)×5×0.125×106=0.125×108

所以CPU用于该外设I/O的时间占整个CPU时间的百分比是:0.125*108/500*106=2.5%

(2)在DMA方式下,每秒进行DMA操作

5MB/5000B=5×106/5000=1×103 次因为DMA预处理和后处理的总开销为500个时钟周期,所以1秒

钟之内用于DMA操作的时钟周期数为

500×1×103=5×105

故在DMA方式下,占整个CPU时间的百分比是

((5×105)/(500×106))×100%=0.1%

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

计算机组成原理试卷(3)

试卷1312222258 一、单4选1(题下选项可能多个正确,只能选择其中最佳的一项) 1、 以下四种类型指令中,执行时间最长的是。 A: RR型 B:RS型 C:SS型 D:程序控制指令 答案:C 2、 寄存器间接寻址方式中,操作数处在______。 A: 通用寄存器 B: 主存单元 C: 程序计数器 D:堆栈 答案:B 3、 单地址指令( ) A:无处理双操作数的功能 B:既能对单操作数进行加工处理,也能对双操作数进行运算 C:只能对双操作数进行加工处理 D:只能对单操作数进行加工处理 答案:B 4、 计算机的存储器采用分级方式是为了______。

A:减少主机箱的体积 B:解决容量、价格、速度三者之间的矛盾 C:保存大量数据方便 D:操作方便 答案:B 5、 在多级中断方式下,CPU在处理中断时() A:可响应更高级别的中断请求 B:可响应更低级别的中断请求 C:禁止其它的中断请求 D:可响应同级别的中断请求 答案:A 6、 二进制数01101011对应的十进制数为() A:107 B:127 C:117 D:100 答案:A 7、 先计算后再访问内存的寻址方式是______。 A:变址寻址 B:间接寻址 C:直接寻址 D:立即寻址 答案:A 8、

目前我们所说的个人台式商用机属于。 A: 巨型机 B:中型机 C:小型机 D:微型机 答案:D 9、 采用虚拟存贮器的主要目的是( )。 A:提高主存贮器的存取速度 B:扩大主存贮器的存贮空间,并能进行自动管理和调度C:提高外存贮器的存取速度 D:扩大外存贮器的存贮空间 答案:B 10、 定点原码一位乘法是______。 A:先取操作数绝对值相乘,符号位单独处理 B:用原码表示操作数,然后直接相乘 C:被乘数用原码表示,乘数取绝对值,然后相乘 D:乘数用原码表示,被乘数取绝对值,然后相乘 答案:A 11、 下列存储器中存取速度最快的存储器是( ) A:磁带 B:磁盘 C:辅存 D:主存

计算机组成原理试题集

1.数字电子计算机的主要特点是存储容量大、(运算速度快),(运算精度高)。 2.计算机各组成部件相互连接方式,从早期的以(存储器)为中心,发展到现在以(运算器)为中心。 3.指令寄存器寄存的是(C ) A、下一条要执行的指令 B、已执行完了的指令 C 、正在执行的指令D、要转移的指令 4.衡量计算机的性能指标主要有哪些(答主要的三项指标),并说明为什么? 解:衡量计算机性能的指标主要有:计算速度、存储容量和通讯带宽等,计算机速度是反映CPU性能,也是反映计算机能力的主要指标之一。存储容量反映出计算机可以处理的数据量空间的大小。带宽反映出计算机处理信息的通讯能力。 5,决定指令执行顺序的寄存器是(PC),而记录指令执行结果的状态的寄存器是(状态字寄存器) 6.最早提出“存储程序程序”概念的是(A ) A、Babbage B、V.Neumann C、Pascal D、Bell 7.如何理解计算机组成和计算机体系结构? 8.第一台电子计算机(ENIAC)是于1946年交付使用。 9.单地址指令中为了实现两个数的算术运算,除地址码指明的一个操作数外,另一个采用(隐含)寻址方法。 10.假定指令系统有m条指令,指令操作码的位数为N位,则N至少应当等于()。 11.用n+1位字长(含一位符号位)表示原码定点整数时,所能表示的数值范围是(0﹤﹦N );用n+1位字长(含一位符号位)表示原码定点小数时,所能表示的数值范围是() 1. CPU包括()两部分。 A、ALU和累加器 B、ALU和控制器 C、运算器和控制器 D、ALU和主存储器 C 2. 计算机运算速度的单位是()。 A、MTBF B、MIPS C、MHZ D、MB B 3. 若十六进数微AC.B,则其十进制数为()。 A、254.54 B、2763 C、172.6875 D、172.625 C 4. 若十进制数据为137.5则其八进制数为()。 A、89.8 B、211.4 C、211.5 D、1011111.101

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理试卷

计算机组成原理考试试题纸(A卷) 课程:计算机组成原理及系统结构班级学号:姓名: 题号一二三四五六七八九总分题分 一、选择题(10分) 1. 冯·诺依曼(Von Neumann)机工作方式的基本特点是。 A.指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存储器按内容选择地址 2. 若一个数的编码是10000111,它的真值为+7,则该编码是。 A.原码B.反码C.补码D.移码 3. 若寄存器中存放的是数据的,则经过一次算术右移操作后, 结果相当于原来的数除以2。 A.原码B.反码C.补码D.无符号数 4.采用虚拟存储器的主要目的是。 A.提高主存储器的存取速度 B. 扩大主存储器的存储空间,并能进行自动管理调度 C.提高外存储器的存取速度 D.扩大外存储器的存储空间 5.需要刷新的存储器是。 A. Cache B.ROM C.静态存储器 D.动态存储器 6.在指令格式中,采用扩展操作码设计方案的目的是。 A.保持指令字长度不变而增加寻址空间 B. 增加指令字长度 C. 保持指令字长度不变而增加指令操作的数量 D.减少指令字长度 7.操作控制器的功能是。 A. 产生时序信号 B. 从主存取出一条指令 C. 完成指令操作码译码 D. 从主存取出指令,完成指令操作码译码,并产生有关的操作控制 信号,以解释执行该指令 8.计算机中使用总线结构便于增减外设,同时。 A. 减少了信息传输量B. 提高了信息传输速度 C. 减少了信息传输线的条数D. 三者均正确 9.周期挪用方式常用于______中。 A. 直接内存存取方式的输入/输出 B. 直接程序传送方式的输入/输出 C. CPU的某寄存器与存储器之间的直接程序传送 D. 程序中断方式的输入/输出 10.如果有多个中断同时发生,系统将根据中断优先级响应优先级最高的中断请求,若要调整中断事件的响应次序,可以通过______实现。 A.中断嵌套 B.中断向量 c.中断响应 D.中断屏蔽 二.填空题(20分) 1. 计算机系统结构的发展和演变看,早期的计算机是以为中心 的系统结构,而近代的计算机是以为中心的系统结构。 2. 在浮点加法运算中,主要的操作内容及步骤是、、。 3. 在多级存储体系中,Cache存储器的主要功能是,虚拟 存储器的主要功能是。 4. 确定计算机指令系统应满足的基本要求是、和。 5. 集中式总线控制可分为、和三种,其中 响应时间最快,对电路的故障最敏感。 6.计算机系统中,CPU对外设的管理方式有:______方式、______方式、____ _方式、__ ___方式、___ __方式五种。

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

3计算机组成原理试卷

一.单项选择题(每个空格只有一个正确答案,35分,每题5分) 1. C 是 G 周期中从内存流向 B 的信息流; E 是 H 周期中从内存流向运算 器的信息流。 A. 存储器 B. 控制器 C. 指令流 D. 控制流 E. 数据流 F. 缓冲 G. 取指 H. 执行 2. G 存储器是一种高速工作的存储器,指同一个存储器具有两组相互 B 的 C 控 制线路,可以对存储器中 E 位置上的数据进行 B 的存取操作。 A. 依赖 B. 独立 C. 读写 D. 刷新 E. 任何 F. 指定 G. 双端口 H. 三端口 3.cache是介于CPU和 E 之间的 H 容量存储器,能高速地向CPU提供 A 和数据, 从而加快程序的执行速度。cache由高速的 F 组成,全部功能都由 C 实现,因而对程序员是透明的。 A. 指令 B. DRAM C. 硬件 D. 软件 E. 主存 F. SRAM G. 大 H. 小 4.虚拟存储器只是一个容量非常大的存储器的 C 模型,不是任何实际的 D 存储器。 有了虚拟存储器,用户无需考虑所编程序在 H 中是否放得下或放在什么位置等问题。 虚拟地址由 E 生成,但虚拟地址空间的大小实际上受到 G 容量的限制。 A. 操作系统 B. CPU地址引脚 C. 逻辑 D. 物理 E. 编译程序 F. 用户程序 G. 辅存 H. 主存 5.指令的 G 寻址方式,是指下条指令的地址码不是由 A 给出,而是由 C 给出。 因此, A 的内容也必须相应改变,以便及时跟踪新的指令 H 。 A. 程序计数器 B. 堆栈指示器 C. 本条指令 D. 下条指令 E. 顺序 F. 堆栈 G. 跳跃 H. 地址 6.通常用 F 读取一条指令字的 C 时间来规定CPU周期。取出和 H 任何一条指令 所需的 C 时间为 B 个CPU周期。 A. 1 B. 2 C. 最短 D. 最长 E. 外存 F. 内存 G. 译码 H. 执行 7.RISC的三个基本要素是:(1)一个有限的 E 的 C ;(2)CPU配备大量的 B ;(3) 强调对指令 G 的 D 。 A. 专用寄存器 B. 通用寄存器 C. 指令集 D. 优化 E. 简单 F. 复杂 G. 流水线 H. 超标量 二.简答题(30分,每题5分) 1.计算机体系结构、计算机组成、计算机实现三个术语的物理概念是什么?彼此间有什么关系? 【解】 计算机体系结构:机器语言程序员所看到的传统机器级所具有的属性,其实质是确定计算机系统中软硬件的界面。

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理实验五

上海大学计算机学院 《计算机组成原理实验》报告一 姓名:学号:教师: 时间:机位:报告成绩: 实验名称:指令系统实验 一、实验目的:1. 读出系统已有的指令,并理解其含义。 2. 设计并实现一条新指令。 二、实验原理:利用CP226实验仪(用74HC754即8D型上升沿触发器)上的K16…K23 开关为数据总线DBUS设置数据,其他开关作为控制信号,一条指令执行完 毕PC会自动加1,系统顺序执行下一条指令,但系统要进入一个新的指令序 列时,如跳转、转子程序等,必须给PC打入新的起始值——新指令序列的 入口地址。实验箱实现把数据总线的值(目标地址)打入PC的操作,以更新 PC值。 三、实验内容:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 四、实验步骤:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) ①在初始化系统(Reset),进入微程序存储器模式(μEM状态),用NX键观 察64H,65H,66H,67H, 地址中原有的微指令,分析并查表确定其功能。 ②在EM状态下,Adr打入A0,DB打入64;按NX键,Adr显示A1,DB 打入E8。 ③在μEM状态下,在E8H、E9H、EAH、EBH下分别打入:FFDED8、CBFFFF、 FFFFFF、FFFFFF。 ④给μPC状态下,打入μPC(00)、PC(A0)、A(11)、W(00),按3次 NX输入R0(77)。 ⑤按下STEP键,观察实验现象。 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 ⑥继续按STEP键,直到进入E8状态下。 ⑦在EM状态下,打入Adr为77,DB为56。 ⑧按STEP键执行指令,观察实验现象。 五、实验现象:OUT寄存器的值为5A。 六、数据记录、分析与处理:实验结果和预期的一样。 七、实验结论:1、机器指令64对应的各微指令码为:FF77FF、D7BFEF、FFFE92、CBFFFF。其功能为:将R0寄存器的值打入地址寄存器MAR;存贮器EM将MAR输出地址所对应的值打入W寄存器;ALU直通门输出的值打入A寄存器,A、W中的值进行“与”运算,结果在A输出;PC+1,读出下一条指令并立即执行。 八、建议:暂无。

计算机组成原理试题3

计算机组成原理试题3 一、选择题(共20分,每题1分) 1.直接、间接、立即三种寻址方式指令的执行速度,由快至慢的排序是______。 A.直接、立即、间接; B.直接、间接、立即; C.立即、直接、间接; D.立即、间接、直接。 2.存放欲执行指令的寄存器是______。 A.MAR; B.PC; C.MDR; D.IR。 3.在独立请求方式下,若有N个设备,则______。 A.有一个总线请求信号和一个总线响应信号; B.有N个总线请求信号和N个总线响应信号; C.有一个总线请求信号和N个总线响应信号; D.有N个总线请求信号和一个总线响应信号。 4.下述说法中______是正确的。 A.半导体RAM信息可读可写,且断电后仍能保持记忆; B.半导体RAM是易失性RAM,而静态RAM中的存储信息是不易失的; C.半导体RAM是易失性RAM,而静态RAM只有在电源不掉时,所存信息是不易失的。 5.DMA访问主存时,向CPU发出请求,获得总线使用权时再进行访存,这种情况称作______。 A.停止CPU访问主存; B.周期挪用; C.DMA与CPU交替访问; D.DMA。 6.计算机中表示地址时,采用______ 。 A.原码; B.补码; C.反码; D.无符号数。 7.采用变址寻址可扩大寻址范围,且______。 A.变址寄存器内容由用户确定,在程序执行过程中不可变; B.变址寄存器内容由操作系统确定,在程序执行过程中可变; C.变址寄存器内容由用户确定,在程序执行过程中可变; D.变址寄存器内容由操作系统确定,在程序执行过程不中可变; 8.由编译程序将多条指令组合成一条指令,这种技术称做_______。 A.超标量技术; B.超流水线技术; C.超长指令字技术;

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

计算机组成原理试题及答案

计算机组成原理试题及答案 一、选择题(每题3分,共36分) 1、下列数中最小的数是()。B A (1010010)2 B (00101000)BCD C (512)8D(235)16 2、某机字长16位,采用定点整数表示,符号位为1位,尾数为15位,则可表示的最大正整数为(),最小负整数为()。 A A +(215-1),-(215-1) B +(215-1),-(216-1) C +(214-1),-(215-1) D +(215-1), -(1-215) 3、运算器虽由许多部件组成,但核心部分是() B A 数据总线 B 算术逻辑运算单元 C 多路开关 D 累加寄存器 4、在定点运算器中,无论采用双符号位还是采用单符号位,都必须要有溢出判断电路,它一般用()来实现 C A 与非门 B 或非门 C 异或门 D 与或非门 5、立即寻址是指() B A 指令中直接给出操作数地址 B 指令中直接给出操作数 C 指令中间接给出操作数 D 指令中间接给出操作数地址 6、输入输出指令的功能是() C A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送 C 进行CPU与I/O设备之间的数据传送 D 改变程序执行的顺序 7、微程序控制器中,机器指令与微指令的关系是() D A 一段机器指令组成的程序可由一条微指令来执行 B 一条微指令由若干条机器指令组成 C 每一条机器指令由一条微指令来执行 D 每一条机器指令由一段用微指令编成的微程序来解释执行 8、相对指令流水线方案和多指令周期方案,单指令周期方案的资源利用率和性价比()A A 最低 B 居中 C 最高 D 都差不多 9、某一RAM芯片,其容量为1024×8位,除电源端和接地端外,连同片选和读/写信号该芯片引出腿的最小数目为() B A 23 B 20 C 17 D 19 10、在主存和CPU之间增加Cache的目的是()。 C A 扩大主存的容量 B 增加CPU中通用寄存器的数量 C 解决CPU和主存之间的速度匹配 D 代替CPU中寄存器工作 11、计算机系统的输入输出接口是()之间的交接界面。 B A CPU与存储器 B 主机与外围设备 C 存储器与外围设备 D CPU与系统总线 12、在采用DMA方式的I/O系统中,其基本思想是在()之间建立直接的数据通路。B A CPU与存储器 B 主机与外围设备 C 外设与外设 D CPU与主存 二、判断题(每题3分,共15分) 1、两个补码相加,只有在最高位都是1时有可能产生溢出。(×) 2、相对寻址方式中,操作数的有效地址等于程序计数器内容与偏移量之和(√) 3、指令是程序设计人员与计算机系统沟通的媒介,微指令是计算机指令和硬件电路建立联系的媒介。(√)

计算机组成原理实验五存储器读写实验

实验五 存储器读写实验实验目的 1. 掌握存储器的工作特性。 2. 熟悉静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 表芯片控制信号逻辑功能表

2. 存储器实验单元电路 芯片状态 控制信号状态 DO-D7 数据状态 M-R M -W 保持 1 1 高阻抗 读出 0 1 6116-^总钱 写人 1 0 总线-*6116 无效 报警 ^2-10 D7—DO A7—A0

團2-8存储器实验电路逻辑图 三、实验过程 1. 连线 1) 连接实验一(输入、输出实验)的全部连线。 2) 按逻辑原理图连接M-W M-R 两根信号低电平有效信号线 3) 连接A7-A0 8根地址线。 4) 连接B-AR 正脉冲有效信号 2. 顺序写入存储器单元实验操作过程 1) 把有B-AR 控制开关全部拨到0,把有其他开关全部拨到1,使全部信号都处 于无效 状态。 2) 在输入数据开关拨一个实验数据,如“ 00000001”即16进制的01耳 把IO-R 控制开关拨下,把地址数据送到总线。 3) 拨动一下B-AR 开关,即实现“1-0-1 ”产生一个正脉冲,把地址数据送地 址寄存器保存。 4) 在输入数据开关拨一个实验数据,如“ 10000000',即16进制的80耳 把IO-R 控 制开关拨下,把实验数据送到总线。 3. 存储器实验电路 0 O O 0 0 olo O O O O 0 00 OUTPUT L/O :W 8-AR £ ■」2 ■七 ol^Fgr' L P O 74LS273 A7- AO vz 0 o|o 0 r 6116 A7 INPUT D7-O0 [olololololololol T2

计算机组成原理试题及答案26476

中国自考人——700门自考课程永久免费、完整在线学习快快加入我们吧! 浙江省2003年7月高等教育自学考试 计算机组成原理试题 课程代码:02318 一、单项选择题(在每小题的四个备选答案中,选出一个正确答案,并将正确答案的序号填在题干的括号内。每小 题1分,共20分) 1.迄今为上,计算机中的所有信息仍以二进制方式表示,其理由是( )。 A.运算速度快 B.信息处理方便 C.节约元件 D.物理器件性能决定 2.在计算机中能直接被接受的语言为( )。 A.机器语言 B.汇编语言 C.高级语言 D.数据库语言 3.设二进制代码内容为01111111,其原码对应的真值是( )。 D.+127 4.2对应的十进制数是( )。 运算器的主要功能是进行( )。 A.算术运算 B.逻辑运算 C.累加器运算 D.算术运算和逻辑运算 6.若RAM芯片的存储容量为1M×8bit,则该芯片的地址线的数目是( )。 7.某计算机字长32位,存储容量为1MB,若按字编址,它的寻址范围是( )。 8.通常人们把依据某种需要而编制的指令序列称为计算机中的( )。 A.程序 B.文件

C.记录 D.集合 9.在计算机的指令系统中,通常采用多种确定操作数的方式。当操作数的地址由某个指定的变址寄存器内容与位移量相加得到时,称为( )。 A.直接数 B.间接寻址 C.变址寻址 D.相对寻址 10.某型计算机系统的微处理器的主频为100MHZ,四个时钟周期组成一个机器周期,平均三个机器周期完成一条指 令,则它的机器周期为( )ns。 11.在计算机中的寄存器里的值有时是地址,这只有计算机的( )能识别它。 A.时序信号 B.判断程序 C.指令 D.译码器 12.程序计数器属于( )。 A.控制器 B.运算器 C.存储器 D.输入输出接口 13.计算机CPU芯片中的总线属于( )总线。 A.外部 B.内部 C.系统 D.板级 14.在串行传输时,按顺序传输表示一个数据所有二进制的脉冲信号,每次一位。通常用第一个脉冲信号表示 ( )。 A.最高有效位 B.最低有效位 C.码元 D.无符号数 15.一级汉字有3755个,假设每个汉字字模采用16×16点阵,并放在主存中,则约占( )字节。 16.在微型计算机系统中,硬盘和主机进行数据交换一般采用( )方式。 A.通道控制 (直接存储器访问) C.程序中断控制 D.程序直接控制 17.周期挪用方式常用于( )方式的输入/输出中。

相关主题
文本预览
相关文档 最新文档