当前位置:文档之家› 出租车计费系统

出租车计费系统

出租车计费系统
出租车计费系统

2010山东省大学生电子设计竞赛论文题目: 出租车计费系统

论文编号:J乙5601

参赛学校:

参赛学生

联系方式:

指导教师:

二○一零年九月

摘要:本系统以STC89C52单片机为控制核心,辅以键盘调节、数码管显示、蜂鸣器报警、车速模拟、车速检测及信息存储电路,实现了出租车计价器的行车里程和车速的实时检测与显示、计价金额显示以及价格预置等功能,满足了题目中基本部分及发挥部分的要求。在此基础上,还增加了断电保护、蜂鸣器警示、行驶里程及价格打印等功能。该系统功能齐全,实用性强。经测试,计价器的各项显示指标均满足题目要求。

关键字:出租车计价器;STC89C52;价格预置;断电保护

Abstract:This system uses STC89C52 microcontroller as control core. With the keyboard control circuit, digital display circuit, beep alarm circuit, speed measurement circuit, speed detection circuit and information storage circuit,this system realizes function of the meter taxi driving mileage ,display and detection of real-time speed, valuation display and price set in advance, it meets all essential and additional requirement. Additional function such as power-off protection , beep alarm the print of mileage and consumption is added. This system is fully functioned and easy to implement. The measurement results show that all indicators of this taxi meter meet the requirement.

Key words: Taxi Meter; STC89C52; Price Set in Advance; Power-off Protection

目录

1系统方案设计 (1)

1.1系统整体方案的设计 (1)

1.2单元模块论证与比较 (1)

1.2.1显示模块 (1)

1.2.2车速模拟驱动模块 (2)

1.2.3键盘设置模块 (2)

2 单元硬件电路设计 (2)

2.1 数码管显示设计 (2)

2.2键盘设置模块设计 (3)

2.3车速模拟模块设计 (3)

2.4车速检测模块设计 (3)

2.5消费清单打印设计 (3)

3 软件设计 (4)

3.1主程序及流程图 (4)

3.2各部分子程序流程图 (4)

3.2.1数码管显示子程序 (4)

3.2.2电机驱动子程序 (5)

4 系统测试 (5)

4.1测试仪器清单 (5)

4.2测试方法 (5)

4.2.1实时车速检测 (5)

4.2.2计价测试 (5)

4.3测试结果 (5)

4.3.1车速检测结果 (5)

4.3.2 行车里程及计价测试结果 (6)

4.4误差分析 (6)

4.5改进措施 (6)

5 设计总结 (6)

参考文献 (7)

附录一 (7)

附录二 (8)

1系统方案设计

1.1系统整体方案的设计

单片机I/O 口丰富、控制灵活、易于编程的优点,以单片机为控制核心的计价系统可以方便地实现车速检测、价格预置、里程计价显示等功能。其原理图如图1。

STC89C52

车速检测与里程计算键盘设置

掉电存储数码管显示

蜂鸣提示

车速模拟装

置消费清单打

图1

根据上图思路,我们设计了一个以STC89C52单片机为控制核心的出租车计价器系统。该系统由键盘设置、车速检测与里程计算、数码管显示、蜂鸣提示及掉电保护等模块组成。其中,键盘设置模块实现系统的计价预置、计价暂停、“单程”与“往返”设置、及金额查询功能等等;车速检测与里程计算模块通过车速检测传感器器采集车速信息,送至单片机处理,得到实时车速及车的实时行程;数码管显示模块完成车速、里程数、金额数以及累计时间的显示;蜂鸣提示警示出租司机、乘客到达目的地,计价停止;掉电保护模块记录并存储当前计价器的各项显示信息,起到掉电保护作用。

1.2单元模块论证与比较

1.2.1显示模块

方案一:采用普通LED 数码管显示。用74LS74驱动数码管实现动态显示,简单直观,且易于编程,但占用系统I/O 口较多,不能实现资源的有效利用。从

设计的整体性考虑,该方案将导致单片机IO资源缺乏。因此我们放弃了该方案。

方案二:采用串行输入数码管显示。LKM1638模组采用专用驱动芯片TM1638,实现8个7段数码管的检测。和单片机采用串行通讯,只需要3个IO,大大节省了主控器IO。LED显示内容直接由单片机送数即可,不需要MCU扫描,节省MCU资源。

经比较分析,采用串行输入数码管显示节省大量单片机IO资源,能很好地满足设计的需要,因此我们选择方案二。

1.2.2车速模拟驱动模块

以电机为驱动,将电机的转子与码盘连接在一起,用码盘的转动模拟出租车车轮的转动,码盘的转速即为出租车的车速。

方案一:采用普通直流电机。普通直流电机转动力矩大,转动速度快,驱动能力强,能快速带动码盘转动,很好得到达模拟效果。但是,由于直流电机存在机械触点,易产生噪声,而且单独使用时不能精确控制定位。

方案二:采用步进电机。步进电机虽然不能达到很高转速,但其运行平稳,不会产生噪音。此外步进电机的更容易控制:我们只需通过调节步进电机的脉冲给定周期和给定个数就可以分别控制其转动速度和转动角度。

经分析,由于步进电机容易控制,使用步进电机为驱动带动码盘转动能很好地达到模拟实时出租车车轮转动的效果,因此我们选择了方案二。

2 单元硬件电路设计

2.1 数码管显示设计[4]

本题中基本要求中的出租车行车里程数和计价金额显示,以及发挥部分的实时车速显示和累计等待时间显示需要两组8位数码管显示,考虑到单片机有限的I/O资源,我们采用能和单片机进行串行通讯的LKM1638 LED模组。该模组显示内容直接由单片机送数即可,不需要MCU扫描,节省MCU资源[1]。其原理图如图2.1:

图2.1

2.2键盘设置模块设计

本系统采用4×4键盘,电路原理图见附图1。图中单片机的P0.0~ P0.3控制键盘的行,P0.4~ P0.7控制键盘的列。键盘按键的具体功能见附图2。

2.3车速模拟模块设计[3]

本设计用步进电机带动码盘转动来模拟出租车车轮转动,从而完成出租车车速的模拟。步进电机的驱动我们采用WZM-2H042M驱动器。WZM-2H042驱动具有抗干扰性、高频性能好、结构简单、运行平稳的优点。其驱动步进电机的电路图见附图3。

2.4车速检测模块设计

本设计采用WTK-10凹槽光电开关作为车速检测器。WTK-10凹槽光电开关灵敏度高、实用性强、安装方便。WTK-10凹槽光电开关检测码盘转动的圈数,将检测得到的信号送至主控器,主控器运用算法处理接收到的信号,从而得到出租车的实时车速。WTK-10凹槽光电开关的电路图见附图4。

2.5消费清单打印设计

本设计实现了打印乘客消费清单的功能。打印机我们选择炜煌系列WH-A16-5

热敏微型打印机。该型号打印机性能稳定耐用, 打印开发命令有很强的兼容性、且打印清晰美观。

3 软件设计

3.1主程序及流程图

系统整体程序流程图如图3.1。

开始状态判断

初始显示

按键扫描

Keynum=4?计价结束

状态1?状态5?状态6?

状态3?状态2?状态4?单程状态

往返状态

起步设置

价格设置密码确认

Y Y Y Y Y Y Y

N

图3.1

3.2各部分子程序流程图

3.2.1数码管显示子程序[2]

单片机不断地将处理后的数据交给数码管显示。数码管显示的信息包括车里程数、计价金额、车速和累计等待时间。数码管采用级联方式与单片机进行串口通信。其具体流程图见附图6。

3.2.2电机驱动子程序

车速模拟模块采用电机为驱动(车速模拟及检测模块为单独模块,键盘也为独立键盘,与主控模块互不冲突),其驱动程序流程图见附图6。

4 系统测试

4.1测试仪器清单

测试使用仪器设备见附表1。

4.2测试方法

搭建好硬件电路后,进行软件仿真,成功后下载程序到单片机,然后对系统进行调试。

4.2.1实时车速检测

观察并记录出租车码盘在一定时间内转过的转数,计算出小车的实时车速,然后数码管显示的车速比较。

4.2.2计价测试

根据模拟车速装置中码盘的转数计算行车里程,并依此作为理论计价依据与实际显示计价金额进行比较。

4.3测试结果

4.3.1车速检测结果

车速检测结果见附表2。

4.3.2 行车里程及计价测试结果

单程行车里程及计价测试结果见表1。

表1

序号码盘转数行车里程理论金额实际金额误差

1 2 2 公里8 元8 元0

2 10 10 公里22元22元0

3 20 20 公里42 元42元0

4 30 30 公里62 元64元 3.2%

5 40 40 公里82 元86元 4.9%

4.4误差分析

本设计误差主要来源于车速检测传感器,由于传感器不够灵敏,当码盘转速较大时导致传感器采集信息出现差错。

4.5改进措施

减小误差的方法有:采用灵敏度较高的车速检测传感器,主控器采用处理速度更快、精度更高的ARM单片机。

5 设计总结

四天三夜的时间相当短暂,但经过协力合作,努力实践,我们如期圆满地完成了任务,成功地设计制作了一个出租车计价系统。本系统以STC89C52单片机为控制核心,实现了题目中基本要求及发挥部分的所有功能,此外我们还扩展了出租车计价器的掉电保护功能。

通过此次比赛,我们熟悉了一些基础理论知识,对部分原理有了更深的认识。更重要的是四天的磨练,增强了我们的团队合作意识,动手能力,发现问题、解决问题的能力。当然,由于时间仓促,能力有限,我们的作品还存在一些不足与缺陷,恳请各位老师批评指正,以有利于我们以后的进一步提高。

参考文献

[1] 夏彬彬,任明全,屈金学. PIC单片机常用模块与综合系统设计. 电子工业出版社,2009

[2] 谭浩强. C语言程序设计清华大学出版社2005

[3] 康华光. 电子技术基础(模拟部分)高等教育出版社2005

[4] 余孟尝. 数字电子技术基础教程高等教育出版社2006

附录一

附表1

序号仪器型号用途数量

1 秒表计时 1

2 万用表FLUKE 15 B 测量电阻 1

3 直流稳压电源SUING-SS2323 供电 1

附表2

序号码盘转数所用时间实际车速显示车速误差

1 3 转1分钟3公里/小时3公里/小时0

2 15 转1分钟15公里/小时15.5公里/小时 3.3%

3 30 转1分钟30公里/小时31公里/小时 3.3%

4 40 转1分钟40公里/小时41.5公里/小时 3.75%

5 50 转1分钟50公里/小时52公里/小时 4.0%

附录二

附图1

按键1 单程设置按键2

往返设置

按键3

模拟里程+

按键4

暂停键

按键5 查询按键6

清除

按键7

起步价预

按键8

起步里程

预置

按键9 按键10

每公里价

格预置按键11 按键12

预置价格

增加

按键13 按键14 按键15 按键16

预置价格

减小

附图2

附图

3

附图4

读取MCU 命令

开始

读取接收到的数据

指定地址写数据

数码管显示

附图5

开始

键盘扫描

按键消抖

Keynum =0?电机转速调节设置

电机转速增加无按键按下返回正常状态Keynum =2?Keynum =5?

Keynum =4?Keynum =1?电机转速减小

Keynum =3?暂停

键盘扫描

Y Y Y Y Y Y

附图6

附图7

附录三重要源程序

#include

#ifndef _TM1638_H

#define _TM1638_H

#define DATA_COMMAND 0X40

#define DISP_COMMAND 0x80

#define ADDR_COMMAND 0XC0

#define uchar unsigned char

#define uint unsigned int

sbit CLK=P1^0;

sbit DIO=P1^1;

uchar code tab[]={0x3F,0x06,0x5B,0x4F,0x66,

0x6D,0x7D,0x07,0x7F,0x6F,

0x77,0x7C,0x39,0x5E,0x79,0x71}; uchar code tab1[]={0xBF,0X86,0xDB,0xCF,0xE6,

0xED,0xFD,0x87,0xFF,0xEF,

0xF7,0xFC,0xB9,0xDE,0xF9,0xF1};

void TM1638_Write(unsigned char DATA)

{

unsigned char i;

for(i=0;i<8;i++)

{

CLK=0;

if(DATA&0X01)

DIO=1;

else

DIO=0;

DATA>>=1;

CLK=1;

}

}

void Write_COM(unsigned char cmd,unsigned char STB_CS)

{

P1&=~(0x02<

TM1638_Write(cmd);

P1|=(0x02<

}

void Write_DATA(unsigned char add,unsigned char DATA,unsigned char STB_CS)

{

Write_COM(0x44,STB_CS);

P1&=~(0x02<

TM1638_Write(0xc0|add);

TM1638_Write(DATA);

P1|=(0x02<

}

void init_TM1638(unsigned char STB_CS) {

unsigned char i;

Write_COM(0x8b,STB_CS);

Write_COM(0x40,STB_CS);

P1&=~(0x02<

TM1638_Write(0xc0);

for(i=0;i<16;i++)

TM1638_Write(0x00);

P1|=(0x02<

}

#endif

出租车计费系统的设计好

1.所选题目:出租车计费器 2.设计要求:设计一个出租车计费器,能按路程计费,具体要求如下: (1)实现计费功能,计费标准为:按行驶里程计费,起步价为7.00元,并在汽车行驶2km 后按1.2元/km 计费,当里程数达到15km 后,没千米加收50%的空驶费,车辆停止和暂停时不计费。 (2)现场模拟汽车的启动、停止、暂停和换挡等状态。 (3)设计数码管显示电路,将车费和路程显示出来,各有1位小数。 3.设计原理:设计该出租车有启动键、停止键、暂停键和档位键。启动键为脉冲触发信号,当其为一个脉冲时,表示汽车已启动,并根据车速的选择和基本车速发出相应频率的脉冲(计费脉冲)以此来实现车费和路程的计数,同时车费显示起步价;当停止键为高电平时,表示汽车熄火,同时停止发出脉冲,此时车费和路程计数清零;当暂停键为高电平时,表示汽车暂停并停止发出脉冲,此时车费和路程计数暂停;档位键用于改变车速,不同的档位对应着不同的车速,同时路程计数的速度也不同。 4.模块设计:出租车计费器可分为两大模块,即控制模块和译码显示模块。系统框图如下: 5.电路符号:出租车计费器的输入信号有:计费时钟脉冲clk ;汽车启动键start ;汽车停止键stop ;档位speedup 。输出信号:7段显示控制信号seg7;小数点dp 。 6.具体设计流程: (1)根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA ,counterB ,counterC ,counterD ,counterE 。①计数器A 完成车费百位。②计数器B 完成车费十位和个位。③计数器C 完成车费角和分(显示时只显示角)。④计数器D 完成计数到20(完成车费的起步价)。⑤计数器E 完成模拟实现车行驶100 m 的功能。 计费时钟 档位 启动 控制模块 显示模块 暂停 停止

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

推荐-基于FPGA出租车计费系统的设计 精品

南京大学金陵学院 本科毕业 院系信息科学与工程系 专业电子信息科学与技术 题目基于FPGA出租车计费系统的设计 提交日期20XX年6月3 日

摘要 通过出租车计费系统的设计,详细介绍了如何使用硬件描述语言Verilog HDL设计复杂逻辑电路的步骤和过程,以及应用美国ALTERA公司的Quartus II 9.0软件进行系统设计、仿真和下载实现的方法。通过设计,可以看到在EDA平台上用CPLD器件构成该数字系统的设计思想和实现过程。论述了计费模块、时钟模块、动态显示模块、计费形式判断模块、控制模块等的设计方法和技巧。 关键词:CPLD/FPGA; 电子自动化设计; Verilog HDL硬件描述语言; 出租车计费器; Quartus II 9.0软件

ABSTRACT Taxi billing system through the design, details how to use the hardware des-cription language Verilog HDL design plexity and the process logic and the applicatio-n of the U.S. pany's Quartus II 9.0 ALTERA software system design, simulation, anddownload the realization of the method. By design, you can see in the EDA platform usi-ng CPLD devices constitute the digital system design and implementation process. Discuss-es the billing module, clock module, dynamic display module, billing forms to judge mod-ule, control module and other design methods and techniques. Keywords: CPLD/FPGA; EDA; Verilog HDL; Taxi Billing Machine; Quartus II 9.0

出租车计价器系统完整版

智能电子产品设计与制作 课程设计(论文) 题目: 《出租车计价器系统设计》 学院:电气与电子信息工程学院 专业名称: 学号: 学生姓名: 同组成员: 指导教师: 课设时间:2011年5月23日—2011年6月10日 目录

一.设计目的 (2) 二.设计要求 (2) 三.系统结构 (2) 四.功能模块设计 (3) 五.软件设计 (5) 六.电路组装与调试 (6) 七.电路仿真 (7) 八.总结 (8) 八.附录 (9)

出租车计价器课程设计 一、设计目的 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以红外对管测转速,对实际里程的模拟,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 二、设计要求 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步价开始,当汽车程行驶未满3公里时,均按起步价计算。过3公里后,实现每1公里单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了一位乘客的车费。同时,白天和夜晚价格不同,可以进行切换。白天单价、夜晚单价、等待单价和起步价格都可通过独立键盘进行调节。(默认起步价为5元/3公里,里程单价白天为1.5元/公里,夜晚为1.8元/公里,等待计时单价为0.5元/5分钟) 三、系统结构 根据设计的要求选择实验的方案:采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。设计采用AT89S51单片机为主控器,以红外对管和电机测转速(按键替代),实现对出租车的基本的计价设计,并采用AT24C02实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管,相对液晶显示价格便宜,利用单片机丰富的I/O端口,及其控制的灵活性,实现基本的计价功能。 器系统结构图如下: 四、功能模块 1、单片机模块

出租车自动计费系统

课程设计

东北石油大学课程设计任务书 课程EDA技术课程设计 题目出租车自动计费系统 专业电子信息工程姓名邵文瀚学号080901140717 主要内容、基本要求、主要参考资料等 主要内容: 设计一个最大量程为99.99元的出租车自动计费,计费器具有行车里程计费、等候时间计费及起价三部分功能,并用数码管显示车费的数目。 基本要求: 1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元; 2、行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)均能通过人工输入。 3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1.0元/公里,则脉冲当量为0.01元/脉冲。 4、用LED显示行驶公里数,两个数码管显示收费金额。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2011.3.11 指导教师 专业负责人 2011年3月7日

一、总体设计思想 1.基本原理 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 大体设计思路为把传感器安装在车轮上,主要检测汽车行进的公里数,并产生一系列相应的脉冲输出,脉冲送到单片机进行处理,单片机根据程序设定通过计算脉冲数换算出行驶公里数,再根据从EEPROM中读取的价格等相关数据进行金额的计算,计算好的金额、里程和单价都实时地显示在数码管上。独立键盘可以调节价格等相关数据,按下相应的按钮,产生信号交由单片机处理并实时显示出来,调节好的数据存储到EEPROM中,掉电后可以使调好的数据不丢失,系统结构图如图。 2.设计框图 根据计费器设计要求,可将该系统分成四大模块,一块为计费模块,一块为时间计时模块,一块为路程控制模块,另一块为输出显示模块。

出租车计费系统的设计 毕业设计论文

目录 摘要 (1) 第1章绪论 (2) 第2章系统设计 (3) 2.1系统组成 (3) 2.1.1 计费及显示 (3) 2.1.2 时钟及显示 (3) 2.1.3 计费开始提示 (1) 2.2功能模块设计 (1) 第3章硬件及软件实现 (3) 3.1传感器 (3) 3.1.1 光电传感器电路设计 (3) 3.1.2车轮光电开关检测电路 (4) 3.2单片机 (4) 3.2.1 8051 单片机功能方块图 (5) 3.2.2 AT89S51单片机简介 (7) 3.2.3 AT89S5l与51系列单片机相比具有如下特点 (8) 3.2.4 89S51的内部框图 (9) 3.2.5 中断控制 (11) 3.2.6 单片机控制程序设计 (12) 3.2.7 单片机汇编语言源程序 (16) 3.3显示及按键控制系统 (31) 3.3.1 LED数码管 (31) 3.3.2 数据显示电路的设计 (32) 第4章系统检测及分析 (34) 4.1系统仿真/硬件验证 (34) 4.1.1 系统的调试方法 (34) 4.1.2 系统的硬件验证 (34) 4.2设计技巧分析 (35) 结论 (36) 附录A: FPGA芯片引脚 (37)

摘要 本系统电源电压为+16V,速度传感器具有汽车每运行1㎞提供1000个脉冲信号的特性。显示器可以采用LED数码管。系统采用单片机和FPGA的结合进行系统的主体设计,测控FPGA芯片通过采集传感器脉冲信号WCLK进行里程计算,里程计费,利用外部脉冲信号SCLK产生标准时钟信号,以计算等待时间,等待费用,并产生里程标志(LCBZ),等待标志(DDBZ),熄灯标志(XDBZ)等有关控制标志信号,同时根据单片机发出的开始信号(START),时段标志(SDBZ),传输数据选择(SEL)等控制信号将有关计算结果传送给FPGA芯片。单片机MCU除了完成键盘扫描,显示控制外,还通过P0口与FPGA进行数据交换,并向测控FPGA芯片发出有关控制信号。本设计方案利用单片机和FPGA的结合,发挥它们各自的长处,分工清晰,实际使用和操作符合大众逻辑,容易被人接受。而且,单片机丰富的I/O口和FPGA 模块化的设计为系统功能的扩展提供了空间和便利。 关键词计费系统,单片机,FPGA芯片

数字电路出租车计价器设计

时序逻辑电路课程设计 引言: 我们组选择了题目一,设计出租车计价器,通过对课本、资料的查阅,再经过构思,设计,搭建电路,仿真,得出了结果,虽然不够完美,但是也掌握了一些知识,增加了对各种原件的印象。下文将详细给出设计。 一、资料查阅 通过参考数字电路课本,以及数字电路实验书,再经过网络查阅,也参考了网上有的类似的设计,想出了电路的基本思路。 二、对于要求的实现 要求1:根据出租车上的速度传感器传来的脉冲个数和设置的里程单价来计算对应的总价格,并将总价格通过LED实时显示。 对于此要求的实现,通过查阅,我们发现了74LS160十位计数器,有预制与清零功能,能进行0-9重复计数。功能表如下 要求2:起步价可以设置:。 由于74LS160有置数功能,所以可以置数,能够要求其从一个确定的数开始计数,所以可以设置起步价。对于从要过了起步价里程才开始计数,因为每一个单位里程是一次脉冲,而芯片又是每一次脉冲才记一次数,所以应用触发器的存储功能来使前几次脉冲无法传至芯片。 要求3:里程单价可以设置。 运用比例乘法器,可以实现脉冲的改变。比如用CC4527比例乘法器,输入一个BCD 数,其输出的脉冲为输入脉冲的BCD的十分之一倍。比如速度传感器的每个脉冲为1km,单价为2元,那么就输入20的BCD数,那么比例乘法器就会输出一个2倍速度传感器脉冲的脉冲信号,以此脉冲作为后面电路的时钟信号,可以实现单价2元。其他单价以此类推,但是由于仿真软件中没有找到比例乘法器,所以仿真中没有单价设置这一项。 要求4:可以对总价格进行复位,从而为下次计费做好准备。

运用74LS160的置数功能,重新对其进行置数即可实现下次重新开始计费。 三、具体电路 时序逻辑:左下角U1为里程脉冲发生器,经过N个D锁存器的延时,才可以传至上面的74LS160芯片,芯片开始计数,显示在右上方的两位显示器上,即计程车的价钱。电路中有两个单刀单置开关,上面的S1和下面的S2。S1的作用为每次重新搭载乘客后的清零和重新显示起步价,S2的功能为开启里程传感器,为计程车进行计价。下面对电路各个部分进行解释: 1.计数部分: 用N块74LS160芯片,即可显示N位十进制数,本次仿真以2位为例,也就是只可以显示0-99元的价格。上图七段显示管U5为十位,U3为个位。如图通过开关S5进行起步价设置控制,芯片有CR和LD引脚,CR为1,LD为0时可以进行置数,入上图,设置起步价为5元。由于当有脉冲时候,才会执行置数功能,而又不能和里程传感器用同一个时钟信号,所以单独设置了一个信号源,通过S1开关,既控制LD电位,又通过与门或门非门作为选择开关控制U16信号源的信号是否输入芯片。当开关断开,LD电位为0,U16信号导通到U2芯片,执行置数功能。当S1开关闭合,CR和LD电位都为1,以里程脉冲作为时钟信号执行计数功能,从预制的数字开始计数。

出租车系统设计

出租车计费系统设计 功能分析 本设计主要介绍了出租车计费系统的设计思路和过程,并给出了所涉及的相关知识的详细介绍。EDA技术应用,单片机的功能及应用,传感器检测技术,VHDL编程语言及汇编语言程序,显示系统设计及按键控制, 目前,普遍的出租车计费器仅仅具有时钟,起步价,里程计费,等待计费及显示几个功能。其发展前景是可观的,将来的产品除具有这些功能外,另外还可增加如下功能:防作弊功能,IC卡付费:顾客能在制定点购买一定额度的"顾客IC卡",乘车后可用IC卡付帐,付帐是否成功有相应的提示。车主可定期将总营业额写入"车主IC卡"中,并据此IC卡向所属公司领取报酬。车票资料打印:顾客付费后可打印发票,打印内容包括车主信息和车费信息等。可打印车主总营业额信息。语音播报:当乘客上车时,可自动问候乘客,当到达目的地,自动播报乘车费用并礼貌再见,表达希望下次乘坐的意思。增加的这些功能将会更好地为乘客服务。 计费及显示 ①里程,即汽车行程里程,用四位数字显示,显示方式为"XXX.X",单位为㎞,精确到0.1 ㎞。 ②单价,即里程单价,用三位数字显示,显示方式为"X.XX",单价为元/㎞,根据每天不同的时间段有两种情况:当时间段为06:00~23:00时单价为1.4元/㎞,其他时间段内单价为1.80元/ ㎞。 ③等候时间,用四位数字显示分钟和秒,显示方式为"XX:XX",等候的定义是:当汽车行驶速度小于或等于12㎞/h时为"等候"。在等候时间大于1小时的情况下,可以不显示等候时间,但必须对等候时间进行统计计算。

④等候单价,等候单价有两种情况:在等候时间小于1小时的情况下,等候单价为1元每5分钟;在等候时间大于1小时的情况下,等候单价为20元每小 时。 ⑤费用的计算,出租车的起价为5.00元,当里程小于2㎞时,按起价计算费用;当里程大于2㎞时按下式计算费用:费用=里程*里程单价+等候时间*等候单价。 ⑥费用的显示,用五位数字显示,显示方式为"XXX.XX",单价为元。 时钟及显示 当出租车在通常运行状态下,应能显示当前的时间,在汽车熄火的情况下,时钟必须正常运行,但是可以不显示时钟. 计费开始提示 当出租车载上乘客并起步后,将空车指示牌扳倒时,空车指示牌里的指示灯熄灭,并有语音或灯光提示信号。 功能模块设计 根据系统的设计要求,将整个测控FPGA系统CZJFXT分为七个模块,它们分别是:分频器模块FPQ,等待判别模块DDPB,里程计算模块LCJS,里程计费模块LCJF,等待计时模块DDJS,等待计费模块DDJF,输出数据选择模块SCXZ。 分频器模块FPQ:将外部时钟信号SCLK(设计时假设为1024HZ)经过适当分频后,产生1HZ的系统工作用基准时钟信号CLK1HZ,供给系统中的有关模块计时用。 等待判别模块DDPB:根据速度传感器脉冲信号WCLK和分频器产生的基准时钟信号CLK1HZ,计算单位时间里WCLK的脉冲个数(每㎞产生1000

出租车计费系统的设计

摘要

The design of taxi billing system Abstract The design is for a multi-function taxi meter billing system. It can complete a variety of billing functions and can be charged by one kilometer. The fare and distance will be displayed by dynamic scanning circuit. This design uses hardware description language VHDL. It researches on the EDA software platform and the design uses the software of Quartus II as a development platform, using top-down design method. This taxi meter billing system based on FPGA is made up of four basic blocks. The main chip of the system is EP1K100QC208-3 which is made by the company of ALTERA .The system is made up of frequency module, mileage count module, billing module and dynamic scanning module. The program we designed can be compiled and simulation, then it can be downloaded to the FPGA / CPLD chip. The results show that the system can complete a variety of fare functions and will be displayed at the same time. It’s also able to simulate the taxi start, stop and suspend state. Keyword:Billing system; hardware description language; FPGA; display

出租车计费系统

课程设计报告项目名称:出租车计价器设计与制作 课程名称:单片机技术 二级学院:电气与电子工程学院 系:电气工程系 班级:14电气4班 学号:29 学生姓名:黄凌周 小组成员:刘智超黄凌周 指导教师:钟立华 成绩:

报告完成日期2016年12月20日 目录 摘要2 1 课程设计任务书3 1.1 课程设计任务3 1.2 课程设计方案3 2硬件电路设计4 2.1 振荡电路4 2.2 复位电路设计5 2.3 键盘接口电路5 2.4 显示电路6 2.4.1 1602LCD的基本参数及引脚功能6 2.4.2 显示模块采用1602液晶显示接口电路7 2.5 单片机各引脚功能说明7 3软件设计10 3.1 单片机资源使用10 3.2 单片机软件模块设计10 3.2.1 中断子函数10 3.2.2 判键子函数11 3.2.3 显示子程序11 3.3 总程序流程框图12 总结13 参考文献14 附录1 元件件清单15

附录2原理图16 附录3 程序清单17 摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器

出租车计价系统设计

分类号:200 届本科生毕业论文 题目:出租车计价系统设计 作者姓名:李小双 学号:2007080416 系(院)、专业:机械与电子工程学院 指导教师姓名:胡波 指导教师职称:讲师

宿州学院毕业设计出租车计价系统设计 200 年月日 摘要 摘要::出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 关键词:出租车计价器;单片机;控制 ABSTRACT Abstract:Taximeter is both passengers and drivers of the transaction criteria, it is the taxi industry an important symbol, a taxi is the most important tools. It relates to the interests of both transactions. Has a good performance regardless of the meter is a vast number of taxi drivers or passengers are very necessary. Therefore, the car meter is also very much a study of value. The use of analog circuits and digital circuit design of the meter as a whole circuit of a larger scale, using the device, a failure rate is high and difficult to debug, the pattern of switching need to use mechanical switches, mechanical switch will cause a long-time contacts Bad, functions not easy to achieve. SCM used for the design, a relatively powerful, with less hardware and appropriate software can easily coordinate with each other to achieve the design requirements, flexibility and strong, can be programmed by software to complete more of the additional Function. Pricing model for the switch, software programming and can easily cite the achievement. To avoid a mechanical switch the destabilizing factors. Keywords: Taximeter ;89 S51 MCU ;control

EDA课程设计(论文)-出租车计费系统verilog语言模板

摘要 摘要: 出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用FPGA进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 设计好之后,用1602液晶显示器,显示你想要显示的数据。 关键词:出租车计价器控制 1602

目录 绪论 (3) 第一章系统设计 (4) 1出租车计费系统的概述: (4) 2设计的意义 (4) 3统设计要求 (4) 第二章系统设计方案 (5) 第三章主要模块 (6) 1 时间模块: (6) 2路程模块: (7) 3计费模块: (8) 4速度模块: (9) 5总的框图为: (10) 第四章硬件实现 (11) 1系统的调试方法 (11) 2系统调试的软/硬件 (11) 3 系统调试显示结果 (11) 小结 (13) 谢辞 (14) 参考文献: (15) 附录 (16) 程序: (16)

绪论 近年来,我国出租汽车行业迅猛发展,出租汽车已成为我国城市公共交通的重要组成部分和现代化城市必备的基础设施,成为人们工作、生活中不可缺少的交通工具。它对繁荣经济、促进发展、方便群众、改善交通起到了积极作用。出租汽车对我国人民物质和文化生活影响之大、作用之广是前所未有的。出租汽车行业的服务水平和程度已经成为现代化的重要标志。 出租汽车服务行业和出租汽车计价器紧密相关,因为出租汽车必须安装出租汽车计价器才能投入营运。出租汽车计价器是一种能根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并直接显示车费值的计量器具。计价器是出租汽车的经营者和乘坐出租汽车的消费者之间用于公平贸易结算的工具,因而计价器量值准确与否,直接关系到经营者和消费者的经济利益,用户不仅要求计费器性能稳定,计费准确,有防作弊功能;同时还要求其具有车票资料打印、IC卡付费、语音报话、和电脑串行通信等功能,而这些与电子技术的发展是分不开的。 二十世纪后半期,随着集成电路和计算机技术的飞速发展,数字系统也得到了飞速发展,其实现方法经历了由分立元件、SSI、MSI到LSI、VLSI以及UVLSI 的过程。同时为了提高系统的可靠性与通用性,微处理器和专业集成电路(ASIC)逐渐取代了通用全硬件LSI电路,而ASIC以其体积小、重量轻、功耗低、速度快、成本低、保密性好而脱颖而出。

出租车计费系统

桂林电子科技大学 EDA技术接口实验 设计报告 题目:基于FPGA的出租车计费系统院(系):电子工程与自动化学院 专业:控制科学与工程 学生姓名:陈凯 学号:1308202021 指导教师:潘明 职称:副教授 理论研究实验研究工程设计 2014年 5 月28日

基于FPGA的出租车计费系统 摘要 出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用FPGA进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 设计好之后,用1602液晶显示器,显示你想要显示的数据。 关键词:出租车计价器 1602液晶显示器

The taxi billing system based on FPGA Abstract The meter taxi passengers and the driver was both sides of the trading rules, it is an important symbol of the taxi industry development, what’s more, it is the most important tools in the taxi. It involves the interests of both parties. So it is very necessary to have good performance of the meter not only for the taxi driver but also for the passengers. Therefore, the study of the auto meter also has an application value. But the design of the meter with analog circuit and digital circuit have a big size, it may have a higher failure rate, it also may be more difficult to debug. Mechanical switch is used to switch the mode, but once it beyond the legal time, it can lead to poor contact, the function is also not easy to achieve. However using FPGA to design may be relatively more powerful, it is more easily to achieve the design requirements with less hardware and appropriate software interaction. In view of the switch billing mode, it can be easily and for implementation through software programming. Thus it avoids the instability brought by mechanical switch. After the design, we can use the 1602 liquid crystal display to show what we want to display the data Keywords: taxi control 1602lcd

出租车计价器设计与实现

沈阳航空航天大学 课程设计报告 课程设计名称:微机系统综合课程设计 课程设计题目: 出租车计价器的设计与实现 院(系): 计算机学院 专 业 : 计算机科学与技术 班 级: 24010104 学 号: 2012040101037 姓 名: 程里 指导教师: 罗振 说明:结论(优秀、良好、中等、及格、不及格)作为相关教环节考核必要依据;格式不符合要 求;数据不实 ,不予通过。报告和电子数据必须作为实验现象重复的关键依据。

学术诚信声明 本人声明:所呈交的报告(含电子版及数据文件)是我个人在导师指导下独立进行设计工作及取得的研究结果。尽我所知,除了文中特别加以标注或致谢中所罗列的内容以外,报告中不包含其他人己经发表或撰写过的研究结果,也不包含其它教育机构使用过的材料。与我一同工作的同学对本研究所做的任何贡献均己在报告中做了明确的说明并表示了谢意。报告资料及实验数据若有不实之处,本人愿意接受本教学环节“不及格”和“重修或重做”的评分结论并承担相关一切后果。 本人签名: 日期:年月

沈阳航空航天大学课程设计任务书

课程设计总结:

目录 1 引言 0 1.1出租车计价器概述 0 1.2计价器整体功能描述结构 0 1.3各部分电路功能描述 (1) 2计价器硬件设计 (1) 2.1系统的硬件构成及功能 (1) 2.2MCS-51系列单片机内部结构及功能部件 (3) 2.2.1MCS-51系列单片机的内部结构框图 (3) 2.2.2单片机外部引脚说明 (4) 2.2.3并行输入/输出接口 (6) 3系统的软件设计 (6) 3.1软件总体设计 (6) 3.2系统主程序设计 (8) 3.3显示子程序服务程序 (9) 3.4按键服务程序 (9) 4系统调试与测试结果分析 (10) 4.1系统调试 (10) 4.1.1硬件调试 (10) 4.1.2软件调试 (10) 4.1.3软硬件联调 (10) 4.2 电路图 (11) 参考文献 (10) 附录(关键部分程序清单) (13)

出租车计费系统VHDL

EDA课程设计: 出租车计费系统 学生姓名: 所在班级: 指导老师: 完成时间:

一、课程设计目的 1、综合运用EDA技术,独立完成一个课题的设计,考察运用所学知识,解决实际问题的能力; 2、结合理论知识,考察阅读参考资料、文献、手册的能力; 3、进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实现方法和应用技巧; 二、课程设计要求 实际中出租车的计费工作原理一般分成3个阶段: (1)车起步开始计费。首先显示起步价(本次设计起步费为7.00元),车在行驶3 km 以内,只收起步价7.00元。 (2)车行驶超过3 km后,按每公里2.2元计费(在7.00元基础上每行驶1 km车费加2.2元),车费依次累加。 (3)行驶路程达到或超过9 km后(车费达到20元),每公里加收50%的车费,车费变成按每公里3.3元开始计费。车暂时停止(行驶中遇红灯或中途暂时停车)不计费,车费保持不变。若停止则车费清零,等待下一次计费的开始 三、基本设计思想 1、根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA,counterB,counterC,counterD,counterE。①计数器A完成车费百位。②计数器B完成车费十位和个位。③计数器C完成车费角和分。④计数器D完成计数到30(完成车费的起步价)。⑤计数器E完成模拟实现车行驶100 m的功能。 2、行驶过程中车费附加50%的功能:由比较器实现。 3、车费的显示:由动态扫描电路来完成。用专用模块来实现,完成数据的输入即动态数据的显示。 4、通过分析可以设计出系统的顶层框图如图3.1所示:

基于单片机的出租车计费系统的设计毕业论文设计

毕业论文(设计)内容介绍

目录 摘要 (1) Abstract (1) 第一章绪论 (2) 第二章系统分析 (2) 2.1 出租车计价器的功能要求 (2) 2.1.1 出租车计价器的基本功能 (2) ........................................................................................................................................................................ 2.1.2 出租车 2.2 出租车计价器的设计框图 (3) 第三章硬件系统设计 (4) 3.1 单片机最小系统设计 (4) 3.1.1 系统主控芯片A T89C51简介 (4) 3.1.2 电源电路的设计 (6) 3.1.3 复位电路的设计 (7) 3.1.4 晶振电路的设计 (7) 3.2 测速电路的设计 (8) 3.2.1 A44E霍尔传感器简介 (8) 3.2.2 A44E速度测量的原理 (8) 3.3 显示电路的设计 (9) 3.3.1 LCD1602液晶显示屏简介 (9) 3.3.2 LCD1602液晶显示的原理 (9) 3.4 时钟电路的设计 (10) 3.5 掉电存储电路的设计 (11) 3.6 键盘电路的设计 (12) 第四章软件系统设计 (12) 4.1 AT24C02子程序设计 (12) 4.1.1 AT24C02的写入过程 (12) 4.1.2 AT24C02的读出过程 (13) 4.2 LCD1602显示子程序的设计 (14)

基于单片机的出租车计价器设计

基于单片机的出租车计价器设计 摘要 出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。数据信息一目了然,并且即使是成品也可以方便的修改使用界面,更可根据不同的公司客户使用不同的界面。 该课题主要设计一款计价器,实现对出租车计价统计,采用时钟芯片DS1302实现在系统掉电的时候保存单价和系统时间等信息,输出采用8段数码显示管。本课题设计的计价器不但能实现基本的计价,而且还能根据白天、黑夜、中途等待来调节单价。同时在不计价的时候还能作为时钟为司机同志提供方便。 关键词:出租车计价器;多功能;AT89C52;软硬件设计

The Design Of T aximeter Based On MCU Abstract Taxi meter is the trading of both passengers and driver standards, it is an important indicator in taxi industry ,and it is the most important tool of a taxi.It relates to the interests of both transactions.Good performance of the meter, whether the vast number of friends and passengers, taxi drivers are very necessary.A good performance of the meter is very necessary to passengers and taxi drivers.Therefore, the research to taxi meter is very valuable.The design of the meter by the analog circuit and digital circuit have a larger scale,using a lot of device , resulting in high failure rate, difficult to debug, the mode switch need to use mechanical switches, mechanical switches over time will cause poor contact, function is not easy to achieve.The use of MCU design and relatively powerful, with less hardware and appropriate software can be easily complement each other to achieve the design requirements, and flexibility, can be programmed through software to perform additional functionality.Billing model for the switch can be easily programmed through the software.Avoiding the instability caused by mechanical switches.Data information can be understand at a glance, and even the finished product can easily modify the user interface, but also according to different customers using different interfaces. In the paper we designed a taxi meter realize of a meter taxi valuation statistics, using the system clock chip DS1302 achieved when the power-down unit and the system saved time and other information, the output 8-segment digital display tube. The subject of the meter design can not only realize the basic valuation, but also according to day and night, halfway to wait to adjust the unit price. While not denominated as the clock for the driver when the comrades can facilitate. Keywords:taximeter;multifunction;AT89C52;software/hardware des ign

相关主题
文本预览
相关文档 最新文档