当前位置:文档之家› 半导体专业名词缩写表

半导体专业名词缩写表

半导体专业名词缩写表
半导体专业名词缩写表

A/D analog to digital

AA atomic absorption

AAS atomic absorption spectroscopy

ABC activity‐based costing

ABM activity‐based management

AC alternating current; activated carbon

ACF anisotropic conductive film

ACI after‐clean inspection

ACP anisotropic conductive paste

ACT alternative control techniques; actual cycle time

ADC analog‐to‐digital converter

ADE advanced development environment

ADI after‐develop inspection

ADT applied diagnostic technique

ADTSEM Apply/Develop Track Specific Equipment Model

AE atomic emission; acousticemission; absolute ellipsometry AEC advanced equipment controller

AECS Advanced Equipment ControlSystem; Automated Equipment Control System

AEI after‐etch inspection; automated equipment interface AEM analytical electron microscopy

AES Auger emission/electron spectroscopy

AFM atomic force microscopy

AFP abrasive‐free polish

Ag silver

A‐GEMTF Advanced GEM Task Force

AGV automated guided vehicle

AHF anhydrous hydrogen fluoride

AHU air handling unit

AIR automated image retrieval

Al aluminum

ALD atomic layer deposition

ALE atomic layer epitaxy; application logic element

ALS advanced light source; advanced low‐power Schottky AMC airborne molecular contamination

AMHS automated material handling system

AMT advanced manufacturing technology

AMU atomic mass unit

ANN artificial neural network

ANOVA analysis of variance

AOV air‐operated valve

AP adhesion promoter

APA advanced performance algorithm

APC advanced process control

APCD add‐on pollution control device

APCFI Advanced Process Control Framework Initiative APCVD atmospheric pressure chemical vapor deposition APEC advanced process equipment control

API application programming interface; atmospheric pressure ionization

APM atmospheric passivation module; acoustic plate mode APRDL Advanced Products Research and Development Laboratory aPSM attenuating phase‐shift mask

AQI ACCESS query interface

AQL acceptable quality level

Ar argon

AR aspect ratio

ARAMS Automated Reliability

ARC antireflective coating

ARDE aspect ratio‐dependent etching

ARPA Advanced Research Projects Agency (see DARPA)

ARS angle‐resolved scattering

As arsenic

AS/RS automated storage and retrieval system

ASAP Advanced Stepper Application Program

ASIC application‐specific integrated circuit

ASO automatic shutoff

ASP advanced strip and passivation; advanced strip processor ASR automated send receive

ATDF Advanced ToolDevelopment Facility

ATE automatic test equipment

ATG automatic test generation

ATLAS abbreviated test language for all systems

atm atmosphere

ATP advanced technology program; adenosine triphosphate; acceptance and tool performance

ATR attenuated total reflectance

Att attenuated

Au gold

AVP advanced vertical processor

AVS advanced visualization system

AWE asymptotic waveform evaluation AWISPM above wafer in situ particle monitoring AWS advanced wet station

B billion; boron

Ba barium

BARC bottom antireflective coating

BASE Boston Area Semiconductor Education (Council)

BAW bulk acoustic wave

BC bias contrast

BDEV behavior‐level deviation

BDS Brownian Dynamics Simulation

Be beryllium

BEOL back end of line

BESOI bonded and etchback silicon on insulator

BF brightfield

BFGS Broyden‐Fletcher‐ Goldfarb‐Shanno optimization algorithm BFL buffered field‐effect transistor logic

BGA ball grid array

BHT Brinell hardness test

Bi bismuth

BiCMOS bipolar complementary metal‐oxide semiconductor BIFET bipolar field‐effect transistor

BIM binary intensity mask

BiMOS bipolar metal‐oxide semiconductor

BIST built‐in self‐test

BIT bulk ion temperature

BITE built‐in test equipment

BMC bubble memory controller

BMD bulk micro defect

BOE buffered oxide etchant

BOR bottom of range

BOSS Book of SEMI Standards; binary object storage system BOX buried oxide

BPR beam profile reflectometry; business process reengineering BPSG boron phosphosilicate glass

BPTEOS BPSG from a TEOS source

Br bromine

BSE backscattered electron detection

BTAB bumped tape automated bonding

BV breakdown voltage

C carbon

Ca calcium

CA CIM architecture

CAA CIM applications architecture

CAB Competitive Analysis Benchmarking

CAD computer‐aided design

CADT control application development tool

CAE computer‐aided engineering

CAI computer‐assisted instruction

CAM computer‐aided manufacturing

CAPS computer‐assisted problem solving

CAR chemically amplified resist

CARRI Computerized Assessment of Relative Risk Impacts

CASE computer‐aided softwareengineering; computer‐aided systems engineering

CAT computer‐aided testing

CAW Construction Analysis Workgroup CAWC cryogenic aerosol wafer cleaning CBGA ceramic ball grid array

CBS chemical bottle storage area

CBT computer‐based training

CC chip carrier; cluster controller CCC ceramic chip carrier

CCD charge‐coupled device

CCSL compatible current‐sinking logic CCW counterclockwise

Cd cadmium

CD critical dimension

CD/OL critical dimension overlay

CDA clean dry air

CDE chemical downstream etch

CDEM Customer Delivery Enterprise Model CDI collector‐diffusion isolation

CDM Common Device Model for SAB CDO controlled decomposition/oxidation CDR chemical distribution room

CDS chemical distribution system

Ce cerium

CE capillary electrophoresis

CEC cell evaluation chip

CEE control execution environment CEM continuous emissions monitoring CER‐DIP ceramic dual in‐line package

CFA component failure analysis

CFC chlorofluorocarbon

CFD computational fluid dynamics

CFM contamination‐free manufacturing CIC cleanroom interface chamber

CID charge‐injection device

CIE computer‐integrated engineering CIM computer‐integrated manufacturing

CIM‐OSA computer‐integrated manufacturing‐open systems architecture (ESPRIT program)

CIP Continuous Improvement Program

CIS Center for Integrated Systems

CISC complex instruction set computer

Cl chlorine

CLCC ceramic leaded chip carrier

CLIC closed‐loop intensity control

CM configuration management; cassette module

CMC cassette module controller

CML current mode logic

CMM capability maturity model

CMOS complementary metal‐oxide semiconductor

CMP chemical mechanical planarization

CMR common‐mode rejection ratio; cancel move request

CNC computer numerical control; condensation nucleus counter CNT carbon nanotube

Co cobalt

COB chip‐on‐board

COC cost of consumables

CODEC coder‐decoder

COED computer‐optimized experimental design

COGS cost of goods sold

CoO cost of ownership

CORBA common object request broker architecture

CORE composite object reference

COSS common object services specification

COT customer‐owned tooling

CoV coefficient of variance

Cp process capability

CPD concurrent product development

CPE Communications

CPGA ceramic pin grid array

Cpk process capability index

CQFP ceramic quad flat pack

CQN closed‐queuing network

Cr chromium

CRC cyclic redundancy check CRM Cost/Resource Model

Cs cesium

CSA CIM systems architecture CSE control systems engineering CSF critical success factor

CSL current‐steering logic CSMA/CD carrier‐sense

CSP chip‐scale package

CSPED concurrent semiconductor production and equipment development

CST CIM systems technology

CSTR continuously stirred tank reactor

CSV comma‐separated variable

CTC cluster tool controller

CTE coefficient of thermal expansion

CTI cycle time improvement

CTMC cluster tool modular communications

Cu copper

CUB central utility building

CUBES capacity utilization bottleneck efficiency system

CUI common user interface

CUSUM cumulative sum

CV capacitance‐to‐voltage

CVCM collected volatile condensable materials

CVD chemical vapor deposition

CW continuous wave

Cz Czochralski process

D/A digital to analog

D/B die bonding

DAC digital‐to‐analog converter

DAS direct absorption spectroscopy

DASSL differential algebraic system solver

DBMS database management system

DC direct current

DCA direct chip attachment

DCATS double‐contained acid transfer system

DCE distributed computer environment

DCL digital command language; display communication log DCS dichlorosilane

DDL device description language

DDMS defect data management system

DEDS discrete‐event dynamic simulation

DES data encryption standard; display equipment status

DF darkfield

DFC densified fluid clean

DFE dual‐frequency etch

DFM design for manufacturing

DFR design for reliability

DFT design for test

DFY design for yield

DHF dilute hydrofluoric acid

DI deionized; dielectric isolation

DIBL drain‐induced barrier leakage

DIC differential interference contrast

DIL dual in‐line

DIP dual in‐line package

DLBI device‐level burn‐in

DLOC developed source lines of code

DLS display lot status

DLT device‐level test

DLTS deep‐level transient spectroscopy

DMA direct memory access; dynamic mechanical analysis

DMH display message helps

DML data manipulation language; display message log

DMM digital multimeter

DMOS diffused metal‐oxide semiconductor

DMR display move requests

DO dynamic optimization

DOA dead‐on alignment

DOAS differential optical absorption spectroscopy

DOE design of experiments

DOF depth of focus

DOP dioctylphthalate

DPA destructive physical analysis

DPM digital panel meter

DPP discharge‐produced plasma

DPSRAM dual‐port static random access memory

DRAM dynamic random access memory

DRAPAC Design Rule and Process Architecture Council

DRC design rule check

DRE destruction removal efficiency

DRIFTS diffuse reflectance infrared Fourier transform spectroscopy

DRT defect review tool

DSA display system activity; dimensionally stable anode DSC differential scanning calorimetry

DSMC direct simulation Monte Carlo

DSQ downstream quartz

DSS display stocker status

DSW direct step‐on‐wafer

DT dynamic test

DTA differential thermal analysis

DTC direct thermocouple control

DTL diode transistor logic

DTM defect test monitor; delay time multiplier; device test module; digital terrain map

DTMPN defect test monitor phase number

DUT device under test

DUV deep ultraviolet

DV design verification

DVER design rule verification

DVM digital voltmeter

DVS display vehicle status

DWG domain work group

EAPSM embedded attenuated phase‐shift mask EAROM electrically alterable read‐only memory EASE equipment and software emulator

e‐beam electron beam

EBHT electron‐beam high‐throughput lithography EBIC electron beam‐induced current

EBR edge bead removal

EC engineering change; equipment controller ECA engineering capability assessment

ECAD electronic computer‐aided design; engineering computer‐aided design

ECAE electronic computer‐aided engineering ECL emitter coupled logic

ECN engineering change notice

ECO engineering change order

ECQB electrochemical quartz crystal balance ECR electron cyclotron resonance

EDA electronic design automation

EDS energy‐dispersive spectroscopy

EDU equipment‐dependent uptime

EDX energy‐dispersive X‐ray

EDXA energy‐dispersive X‐ray analysis

EEDF electron energy distribution function

EELS electron energy‐loss spectroscopy

EEPROM electrically erasable programmable read‐only memory EFEM equipment front‐end module

EFOCS evanescent fiber‐optic chemical sensor

EFTIR emission Fourier transform infrared spectroscopy EFV excess flow valve

EGE ethylene glycol ethers

EHS extremely hazardous substance

EI equipment integration

EID Equipment InterfaceDevelopment

EIP Equipment Improvement Program; Equipment Improvement Project

EIS electrochemical impedance spectroscopy

EKF extended Kalman filter

ELF extremely low frequency

EM enterprise model; electromagnetic; electromigration EMA equipment maturity assessment

EMC electromagnetic capability; electromagnetic compatability EMF electromagnetic field

EMG electromigration

EMI electromagnetic interference

EMMA electron microscopy and microanalysis

EMP electromagnetic pulse

EMR enter move request

EMU electromagnetic unit

EOS electrical overstress

EOT end of transfer; equivalent oxide thickness

EP extreme pressure; electropolish

EPL electron projection lithography

EPR electron paramagnetic resonance

EPROM electrically programmable read‐only memory

EPSS electronic performance support system

EPT equipment performance tracking

EQUIP C/I equipment control and integration

EQUIP RTC equipment real‐time control

ERAM equipment reliability

ERM enterprise reference model

ERN external recurrent neural network

ERP extended range pyrometer

ERS event reporting standard

ERT emergency response time

ES engineering specification; expert system

ESC electrostatic chuck

ESCA electron spectroscopy for chemical analysis

ESD electrostatic discharge

ESH environment

ESM electronic service manual

ETAB Executive Technical Advisory Board

ETQR External Total Quality and Reliability

EUV extreme ultraviolet

eV electron volt

EWMA exponentially weighted moving average

F fluorine

F/I final inspection

FA failure analysis

FAB fast atom bombardment

FAMOS floating‐gate avalanche‐injection metal‐oxide semiconductor FBGA fine‐pitch ball grid array

FC flip chip

FCM facilities cost model

FCS factory control system

FDC fault detection and classification

FDE frequency domain experiments

FDSOI fully depleted silicon on insulator

Fe iron

FEC fabrication evaluation chip

FEM finite element model

FEOL front end of line

FESEM field emission scanning electron microscopy

FET field‐effect transistor

FFT fast Fourier transform

FFU filter fan unit

FI filterability index; factory integration

FIB focused ion beam

FID flame ionization detector

FIFO first‐in

FIMS front‐opening interface mechanical standard

FL fuzzy logic

FLOPC floating point operations needed per cycle

FLOTOX floating gate tunnel oxide

FLRT factory layout/relayout tool

FM foreign material

FMEA failure mode and effects analysis

FMMC factory material movement component

FMVP Framework Member Validation Project

FNN feed‐forward neural network

FOCS fiber‐optic chemical sensor

FOSB front opening shipping box

FOUP front opening unified pod

FOV field of view

FOX field oxide

FP flash point

FPD focal plane deviation; flat panel display

FPGA field‐programmable gate array

FPLA field‐programmable logic array

FPLF field‐programmable logic family

FPLS field‐programmable logic switch

FPMS Factory Performance Modeling Software FPROM field‐programmable read‐only memory FRACAS Failure Reporting

FRAME Failure Rate Analysis and Modeling

FRMB fast ramp mini batch

FSG fused silica glass

FSM finite state machine

FT final test; Fourier transform

FTA fault tree analysis

FTAB Focus Technical Advisory Board

FTIR Fourier transform infrared

FW full wave

FWHM full‐width half‐maximum

FZ float zone

Ga gallium

GAC granular activated carbon

GC gas chromatography; gravimetric calibrator

GCC generic cell controller

GCD gas chromatography distillation

GCMS gas chromatography mass spectroscopy

GDPP gas drive plasma pinch

GDS graphical design system; graphical design software Ge germanium

GEM Generic EquipmentModel

GEMVS GEM verification system

GES generic equipment simulator

GFC gas filter correlation

GFCI ground fault circuit interrupter

GIDL gate‐induced drain leakage

GILD gas immersion laser doping

GLC gas liquid chromatography

GOI gate oxide integrity

GPIB general‐purpose interface bus

GSCE gas source control equipment

GTS GEM Test System

H hydrogen

HAP hazardous air pollutant

HARI high aspect ratio inspection

HAST highly accelerated stress testing HAZCOM Hazard Communication Standard

HB horizontal Bridgeman crystal

HCI hot carrier injection

HCM hollow cathode magnetron

HCMOS high‐density CMOS

HCS hot‐carrier suppressed

HD high density

HDL hardware description language

HDP high‐density plasma

HDPE high‐density polyethylene

He helium

HEM high‐efficiency matching

HEPA high‐efficiency particulate air

Hf hafnium

HF hydrofluoric acid

Hg mercury

HIBS heavy ion backscattering spectrometry HiPOx high‐pressure oxygen

HLF horizontal laminar flow

HMDS hexamethyldisilizane

HMIS hazardous materials inventory statement HMMP hazardous materials management plan HMOS high‐performance MOS; high‐density MOS HOMER hazardous organic mass emission rate HOPG highly oriented pyrolitic graphite

HP high purity

HPEM Hybrid Plasma Equipment Model

HPI high pressure isolation

HPL high‐performance logic

HPLC high‐performance liquid chromatography

HPM hazardous production materials; high‐purity metal

HPV high‐pressure vent

HRA human reliability analysis

HRR high ramp rate

HRTEM high‐resolution transmission electron microscopy

HSQ hydrogen silsesquioxane

HTO high‐temperature oxidation

HTRB high‐temperature reverse bias

HUPW hot ultrapure water

HVAC heating

I iodine

I/O input/output

I2L integrated injector logic

I300I International 300 mm Initiative

IC integrated circuit; Investment Council; ion chromatography ICAP inductively coupled argon‐plasma spectrometry

ICMS integrated circuit measurement system

ICP inductively coupled plasma

ICP‐AES inductively coupled plasma atomic emission spectroscopy ICP‐MS inductively coupled plasma mass spectrometry

ICT ideal cycle time

IDDQ direct drain quiescent current

IDEAL initiating

IDL interface definition language

IDLH immediately dangerous to life or health

IDS interactive diagnostic system

IEA ion energy analysis

IEC infused emitter coupling

IEDF ion energy distribution function

IERN internal‐external recurrent neural network

IF interface

IGFET insulated‐gate field‐effect transistor

ILB inner lead bond

ILD interlevel dielectric; interlayer dielectric

ILS intracavity laser spectroscopy

IM integrated model; integrated metrology

IMD intermetal dielectric

IMMA ion microphobe mass analysis

IMS ion mobility spectroscopy

In indium

INCAMS individual cassette manufacturing system

IPA isopropyl alcohol

IPL ion projection lithography

IPT ideal process time

Ir iridium

IR infrared

IRAS infrared reflection‐absorption spectroscopy IRIS imaging of radicals interacting with surfaces IRN internal recurrent neural network IRONMAN Improving Reliability of New Machines at Night IRTC‐1interconnect reliability test chip‐1

IS information systems; interface specifications; integrated systems

ISC Industry Steering Council

ISEM inspection/review specific equipment model ISM inductor super magnetron

ISMT International SEMATECH

ISPM in situ particle monitor

ISR in situ rinse

ISS ion scattering spectroscopy

ITRI Interconnection Technology

ITRS International Technology

IVH interstitial via hole

IVP integrated vacuum processing

JDP Joint Development Program

JEDEC Joint Electron Device Engineering Council JESSI Joint European Submicron Silicon Initiative

JIC Joint Industrial Council

JIT just‐in‐time

JJT Josephson junction transistor

JVD jet vapor deposition

K potassium; thousand

keV kilo electron volt

KPA key process area

Kr krypton

kV kilovolt

La lanthanum

LAMMA laser micro‐mass analysis

LAMMS laser micro‐mass spectroscopy

LC inductance‐capacitance; liquid chromatography

LCA lifecycle analysis

LCC leaded chip carrier

LCL lower confidence limit

LDD lightly doped drain

LDL lower detection limit

LDP low‐density plasma

LDPE low‐density polyethylene

LEC liquid encapsulated Czochralski crystal LEL lower explosive limit

LER line edge roughness

LF laminar flow

LFL lower flammable limit

LGQ linear Gaussian quadratic

Li lithium

LI laser interferometry

LIC linear integrated circuit

LID leadless inverted device

LIFO last in

LIMA laser‐induced mass analysis

LIMS laser‐induced mass spectrometry LLCC leadless chip carrier

LLD lower limit of detection

LLNQ least lots next queue

LM light microscope

LMMA laser microprobe mass analysis LOCOS local oxidation of silicon

LOS loss of selectivity

LPC linear predictive coding; laser particle counter; low particle concentration; liquid‐borne particle counter

LPCVD low‐pressure chemical vapor deposition LPD light point defect

LPE liquid phase epitaxy

LPI low‐pressure isolation

LPP laser‐produced plasma

LRS laser Raman spectroscopy

LSE latex sphere equivalent

LSHI large‐scale hybrid integration

LSI large‐scale integration

LSM laser scanning microscope

LTA laser thermal anneal

LTCVD low‐temperature chemical vapor deposition LTO low‐temperature oxidation/oxide

LTPD lot tolerance percent defective

LTV local thickness variation

LV latent variable

LVDT linear voltage differential transducer

LVI low‐voltage inverter

LVS layout verification of schematic

LWR linewidth reduction

LWS large wafer study

M million; mega

MACT maximum achievable control technology

MALDI matrix‐assisted laser desorption and ionization MAN metropolitan area network

Management Standard

Manufacturing and Science

MAP manufacturing automation protocol

Master Deliverables List

MAWP maximum allowable working pressure

MB machine batch

MBC machine bath collection

MBE molecular beam epitaxy

MBPC model‐based process control

MBTC model‐based temperature control

MCBA mean cycles between assists

MCBF mean cycles between failures

MCBI mean cycles between interrupts

MCM multichip module; manufacturing cycle management MCP master control processor;multichip package

MCS material control system

MCU microprocessor control unit; mobile calibration unit MCVD metal chemical vapor deposition

MDL minimum detection limit;

MD‐MOS multi‐drain metal‐oxide semiconductor

MDQ market‐driven quality

MEBS medium energy backscattering spectrometry MEEF mask error enhancement factor

MEMS microelectromechanical system

MERIE magnetically enhanced reactive ion etching

MES manufacturing execution systems

MESFET metal‐semiconductor field‐effect transistor

METS Materials and Equipment Trading Service

MeV mega electron volt

MFC mass flow controller

MFM mass flow meter

Mg magnesium

MG manufactured goods

MHI material hazard index

MHz megahertz

MIC monolithic integrated circuit

MID material ID

MIE magnetron ion etching

MIM metal‐insulator‐metal

MIS metal insulator silicon

MLCC multilayer ceramic capacitor

MLL modify lot location

MLM multilevel metal

MLR message log report

MMC Manufacturing Methods Council

MMD Microlithographic Mask Development program

MMIC monolithic microwave integrated circuit

MMM material movement management

MMMS Material Movement

MMO multimodel optimization

MMOS modified MOS

MMST Microelectronics Manufacturing Science and Technology Mn manganese

MNOS metal‐nitride‐oxide semiconductor

MNS metal‐nitride semiconductor

Mo molybdenum

MO metal‐organic

MOCVD metal‐organic chemical vapor deposition

MOP modify operating procedures

MOS metal‐oxide semiconductor

MOS‐C metal‐oxide semiconductor capacitor

MOSFET metal‐oxide semiconductor field‐effect transistor mp melting point

MP massively parallel

MP‐OES multipoint optical emission spectroscopy MPRES modular plasma reactor simulator MPU microprocessor unit

MRP materials requirements planning

MRP‐II manufacturing resource planning

MS mass spectrometry; mass spectroscopy MSDS Material Safety Data Sheet

MSEM Metrology Specific Equipment Model

MSG Management Steering Group

MSHA Mine Safety and Health Administration

MSI medium‐scale integration; manufacturing support item MSID mass spectrometer lead detector

MSLD mass spectrometer leak detector

MSTAB Manufacturing Systems Technical Advisory Board

MTBA mean time between assists

MTBF mean time between failures

MTBFp mean (productive) time between failures

MTBI mean time between interrupt; mean time between incident MTOL mean time off line; mean time on line

MTS Material Tracking Standard

MTTA mean time to assist

MTTF mean time to failure

MTTR mean time to repair

MV megavolt

MVTR moisture vapor transmission rate

MW molecular weight

MWBC mean wafers between cleans

MWT monitor wafer turner

N nitrogen

Na sodium

NA numerical aperture

NCMS National Center for

NCS Network Communication Standard

NDA nondisclosure agreement

NDE nondestructive evaluation

NDIR nondispersive infrared spectroscopy

NDP neutron depth profiling

NDT nondestructive testing

NDUV nondispersive ultraviolet spectroscopy

NEC National Electric Code

NESHAP National Emissions Standards for Hazardous Air Pollutants NFOM near‐field optical microscopy

NGL next‐generation lithography

Ni nickel

NIL nanoimprint lithography

NIRA near‐infrared reflection analysis

NMOS negative channel metal‐oxidesemiconductor

NMR nuclear magnetic resonance

NN neural network

NRE nonrecurring engineering

NTRS National Technology Roadmap for Semiconductors NTU nephelometric turbidity unit

NVR non‐volatile residue

O oxygen

OBA object behavior analysis

OBEM Object‐Based Equipment Model

OBIC optical beam‐induced current

OBL object‐based language

OC open cassette

OCR optical character recognition

OD outside diameter

ODS ozone‐depleting substances

OEE overall equipment effectiveness

OEM original equipment manufacturer

OES optical emission spectroscopy

OHT overhead transport; overhead hoist transport OHV overhead vehicle

OL overlay

OLB outer lead bond

OLE object linking and embedding

OM operational modeling; optical microscopy

OMA object management architecture

OMS optical mass spectroscopy

OMT object modeling technique

OO object‐oriented

OOA object‐oriented analysis

OOD object‐oriented design

OODB object‐oriented database

OODBMS object‐oriented database management system OOP object‐oriented programming

OPC optical particle counter; optical proximity correction OS operating system

OSD organic spin‐on dielectric

OSF Open Systems Foundation

OSG organosilicate glass

OSI open system interconnection

OSRM Office of Standard Reference Materials

OSS Object Services Standard

Ox oxide

P phosphorous

P/T precision‐tolerance

PAB post‐apply bake

PAC photoactive compound

PACVD plasma‐assisted chemical vapor deposition

PA‐FTIR photoacousticFourier transform infrared spectroscopy PAG photoacid generator

PAL process automation language; programmable array logic; process asset library

PAM process application module

PAS photoacoustic spectroscopy

PAWS portable acoustic wave sensor

Pb lead

PBET Performance‐Based Equipment Training PBGA plastic ball grid array

PBL poly‐buffered LOCOS

PBS photon backscattering

PC personal computer; programmable controller; process control

PCAD packaging computer‐aided design

PCB printed circuit board

PCMP post‐chemical mechanical polishing

PCMS plasma chemistry Monte‐Carlo simulation PCO photocatalytic oxidation

PCR principle component regression

PCT process change team

Pd palladium

PDC passive data collection

PDF portable document format

PDSOI partially depleted silicon on insulator

PDU protocol data unit

PDVC phase‐dependent voltage contrast

PEB post‐exposure bake

PECVD plasma‐enhanced chemical vapor deposition PED post‐exposure delay

PEDS plasma‐enhanced deposition system PEELS parallel electron energy loss spectrometry PEL permissible exposure level

PES photoelectron spectroscopy

PET post‐etch treatment

PETEOS plasma‐enhancedtetraethylorthosilicate PFA perfluoroalkoxy

阿里系各个概念英文缩写名词解释大全

阿里系各个概念英文缩写名词解释大全!!! GMV成交笔数:拍下的订单笔数,包括未付款的。 GMV成交金额:拍下的订单总金额,包括未付款的。支付宝成交笔数:通过支付宝付款的订单笔数。 支付宝成交金额:通过支付宝付款的订单总金额(不是指确认收货完成了交易,仅指买家完成了付款的动作,因此可能会因为退款,这个数值比商家实际收到的款项高)。支付宝使用率:支付宝成交金额/GMV成交金额(珠宝66%,饰品82%) 支付宝使用率的辅助参数:支付宝笔数/GMV笔数(当支付宝使用率很低时,可用此参数看是否正常(80%以上)。因为采用限时折扣相关促销工具时,支付宝收到的款项是折扣价,销售记录中显示的是原价,会导致二者比率过低。)IPV:itemPageView,商品详情页面打开数。 IPV_UV:商品详情页面访客数。在线商品数:在线的商品数量(SKU)。

购买UV:通过支付宝付款的访客数。 店铺UV:到达店铺任何页面的独立访客数。 店铺PV:PageView,店铺所有页面的总打开数。 佣金:根据扣点百分比,和当日商家支付宝实际收到的金额实时划扣的佣金金额(确认收货后,卖家支付宝账户实际收到的款项为准)转化率1: IPV_UV/ 店铺UV(到达店铺的访客,有多少进入了商品详情页面。) 体现店铺首页、自定义页、分类页是否具备足够的导购能力。转化率2: 购买UV/ IPV_UV(到达商品详情页面的独立访客,有多少付款购买。)体现店铺单品页面图片、描述等是否能刺激购买。 店铺转化率:购买UV/店铺UV(转化率1*转化率2:到达店铺任意页面的访客,有多少付款购买。目前珠宝平均1.39%;饰品平均3.18%。)客单价:

英语缩写词汇大全

英语缩写词汇大全 星期 星期一:MONDAY=MON 星期二:TUESDAY=TUS 星期三:WENSEDAY=WEN 星期四:THURSDAY=THUR 星期五:FRIDAY=FRI 星期六:SATURDAY=SAT 星期天:SUNDAY=SUN 月份 一月份=JAN 二月份=FEB 三月份=MAR 四月份=APR 五月份=MAY 六月份=JUN 七月份=JUL 八月份=AUG 九月份=SEP 十月份=OCT 十一月份=NOV 十二月份=DEC 常用词 4=FOR 到永远=FOREVER 2=TO RTN=RETURN(送回) BT=BLOOD TYPE(血型) PLS=PLEASE(请) BD=BIRTHDAY(生日) REWARD=酬谢 REWARD 4 RETURN=送回有酬谢ALLRG=过敏 军事术语 USMC=海军陆战队NAVY=海军 AF=AIR FORCE(空军) ARMY=陆军 宗教类 C=CHRISTIANISM(基督教) J=JUDAISM(犹太教)

C=CATHOLICISM(天主教) B=BUDDHISM(佛教) I=ISLAM(伊斯兰教)NR=NO REFERENCE(没有宗教信仰) 星座 水瓶座:AQUARIUS(1月21日- 2月19日) 双鱼座:PISCES(2月20日- 3月20日) 白羊座:ARIES (3月21日- 4月20日) 金牛座:TAURUS(4月21日- 5月21日) 双子座:GEMINI(5月22日- 6月21日) 巨蟹座:CANCER(6月22日- 7月23日) 狮子座:LEO(7月24日- 8月23日) 处女座:VIRGO(8月24日- 9月23日) 天秤座:LIBRA (9月24日- 10月23日) 天蝎座:SCORPIUS(10月24日- 11月22日) 人马座:SAGITTARIUS(11月23日- 12月21日) 山羊座:CAPRICORNUS (12月22日- 1月20日) 1. 国际性或全美性: UNESCO (United Nations Educational, Scientific and Cultural organization)联合国教育科学文化组织(也叫国际文教组织) 例如:(The)UNESCO has made some contributions to the world.(UNESCO 对世界做出一些贡献) NATO(North Atlantic Treaty organization)北大西洋公约组织。 例如:Could(the)NATO members stick to their commitments?(NATO 的成员能坚守承担义务吗?) SALT(Strategic Arms Limitation Treaty)战略武器限制公约 例如:Should every nation join(the)SALT?(每个国家都要加入SALT?)

石油行业英文缩写汇总

3DLO 3D long offset seismic survey三维长偏移距野外资料采集 3DHR-HR 3D High resolution –high ???seismic survey 三维高分辨-高密野外资料采集。AAC = adjusted AC; ABI inclination at the drill bit AC acoustic 声波时差 ACN =adjusted CN; ADN Azimuthal neotron density AIT* Array Induction Imager Tool A&S admistration&service AHC Ascendant Hierarchical Clustering ARC Induction Resistivity GR annulus pressure ingrated tool ARI Azimuth resistivity imager方位电阻率成像测井仪 APD Elevation of Depth Reference (LMF) above Permanent Datum APWD apparatus whle drilling ASI Array seismic imager阵列地震成像仪 A VG: Average A VO Amplitude Versus Offset(Amplitude variation with offset calibration)振幅-炮检距 关系 AZI: Azimuth (deg) BBC Buy Back Contract BGG: Background Gas (%) BGP 物探局 BHFP bottomhole flowing pressure BHS :Borehole Status BHT :Bottom Hole Temperature BHTA 声波幅度 BHTT 声波返回时间 BLWH Blue White BML below mud line BOP Blow out preventer BOP stack 防喷器组 BS Bit Size BSW basic?? saturation water(综合含水) CAL borehole diameter 井径 CAST 声波扫描成像测井仪 CBI Central Bank of Iran CBIL 井周声波成像 CBL Cement Bond Log CC correlation coefficient CCAL common core analysis常规岩心分析 CCL Casing Collar Locator CCM Contractors Committee Meeting CDF cumulative density function CDF Calibrated Downhole Force

机械行业专业名词缩写对照

缩写词拼写中文分类/关联度 AAR Audit Action Request审核措施要求质量 AD Advanced Development前期开发项目 ADD Average Daily Demand平均日需求物流 AE Automotive Experience汽车业务单元定义 AHTO Accelerated Humidity Test Operating加速适度测试Varitorinx APQP Advanced Product Quality Planning产品前期质量策划QS五大核心工具 AQE Advanced Quality Ensure前期质量保证质量 ASL Approved Supplier List合格供应商清单采购 AT Accumulation Time (delivery frequency)发货交付频率物流 BCU Body control units车身控制单元 BMG/产品工程性能检验认可大众汽车集团通用缩略语 BMP Bitmap位图文件的扩展名图片文件扩展名 BOM Bill of Material物料清单物流 BOS Business Operating System业务运作体系江森自控汽车系统集团综合管理体系BSf Buffer Stock for Forecast to Actual variation物料现况预测调节物流 BSp Buffer Stock for part level Usage Variation to ADD物料安全库存调节物流 BU Business Unit业务单位/业务单元定义 CA Change Authorization更改授权工程 CBS Continental Business System大陆业务系统大陆管理体系 CC Critical Characteristic关键特性工程 CI Commercial Invoice CEP Corporate Entry Program项目 CmK Machine capability index CN Change Notification更改通知工程 CP Control Plan控制计划工程 Cpk Process capability index过程能力指数质量 CR Change Request更改申请工程 CSC-team/大众采购审查团队-VW大众汽车集团通用缩略语 CW Clockwise顺时针方向 CCW Counter clockwise逆时针方向 CQR Continental Quality Requirements大陆质量需求质量 CV&AM Commercial vehicle & after market商务车售后部门 DCC Document Control Center文控中心质量 DCC Display Competence Centre部门 DFM Design for Manufacturing制造性设计工程 DMR发货错误索赔登记表采购 DOE Design of Experiment试验设计工程 DV Design Verification设计确认/设计验证工程

计算机所有专业术语和英文缩略语并解释完整

计算机所有专业术语和英文缩略语并解释完整 悬赏分:10 - 解决时间:2006-6-5 17:34 请大家指点详细一点啊!!! 提问者:Newall - 童生一级 最佳答案 英文术语完全介绍 1、CPU 3DNow!(3D no waiting) ALU(Arithmetic Logic Unit,算术逻辑单元) AGU(Address Generation Units,地址产成单元) BGA(Ball Grid Array,球状矩阵排列) BHT(branch prediction table,分支预测表) BPU(Branch Processing Unit,分支处理单元) Brach Pediction(分支预测) CMOS(Complementary Metal Oxide Semiconductor,互补金属氧化物半导体)CISC(Complex Instruction Set Computing,复杂指令集计算机) CLK(Clock Cycle,时钟周期) COB(Cache on board,板上集成缓存) COD(Cache on Die,芯片内集成缓存) CPGA(Ceramic Pin Grid Array,陶瓷针型栅格阵列) CPU(Center Processing Unit,中央处理器) Data Forwarding(数据前送) Decode(指令解码) DIB(Dual Independent Bus,双独立总线) EC(Embedded Controller,嵌入式控制器) Embedded Chips(嵌入式处理器) EPIC(explicitly parallel instruction code,并行指令代码) FADD(Floationg Point Addition,浮点加) FCPGA(Flip Chip Pin Grid Array,反转芯片针脚栅格阵列) FDIV(Floationg Point Divide,浮点除) FEMMS(Fast Entry/Exit Multimedia State,快速进入/退出多媒体状态) FFT(fast Fourier transform,快速热欧姆转换) FID(FID:Frequency identify,频率鉴别号码) FIFO(First Input First Output,先入先出队列) flip-chip(芯片反转) FLOP(Floating Point Operations Per Second,浮点操作/秒) FMUL(Floationg Point Multiplication,浮点乘) FPU(Float Point Unit,浮点运算单元) FSUB(Floationg Point Subtraction,浮点减) HL-PBGA(表面黏著,高耐热、轻薄型塑胶球状矩阵封装) IA(Intel Architecture,英特尔架构) ICU(Instruction Control Unit,指令控制单元) ID(identify,鉴别号码) IDF(Intel Developer Forum,英特尔开发者论坛)

2021年外贸常见词汇缩写大全

外贸常见词汇缩写大全 欧阳光明(2021.03.07) A A.R 一一 All Risks 一切险 ANER 一一Asia NorthAmerica EastboundRate 亚洲北美东行运费协定 AWB 一一 airway bill 空运提单 ATTN 一一 attention 注意 a/c 一一 account no. 账户 ASAP 一一 As soon as possible 越快越好 B.D.I 一一 Both Days Inclusive 包括头尾两天 BAF 一一 Bunker Adjustment Factor 燃油附加费 B/L 一一 Bill of Lading 海运提单 B/ldg.一一 B/L Bill of Lading 提单 Bs/L 一一 Bills of Lading 提单 (复数) B/R 一一买价 Buying Rate Bal. 一一 Balance 差额 bar. or brl. 一一 barrel 桶; 琵琶桶 B.B. clause 一一 Both to blame collision clause 船舶互撞条款 B/C 一一 Bills for collection 托收单据 B.C. 一一 before Christ 公元前 b.d. 一一 brought down 转下 B.D. 一一 Bank draft 银行汇票 Bill Discounted 一一贴现票据 bdle. ; bdl. 一一 bundle 把; 捆 b.e. ; B/E ; B. EX. 一一 Bill of Exchange 汇票 B.f. 一一 Brought forward 接下页 B/G 一一 Bonded goods 保税货物 bg. ; b/s 一一 bag(s) 袋 bkg. 一一 backing 银行业务 bkt. 一一 basket 篮; 筐 bl.; bls. 一一 bale(s) 包 bldg. 一一 building 大厦 bls. 一一 Bales 包 , barrels 桶 bot. ; bott. ; btl 一一 bottle 瓶

制造业工厂常用英文与缩写词汇大全

一:常用術語 Hon Hai 鴻海 CMM Component module move 機動元件整合 CEM Contract Manu faction service 合約委托代工 IBSC Internet Business Solution Center 國際互聯網應用中心 PCEG Personal Computer Enclosure group 個人電腦外設事業群(FOXTEQ)CCBG Connector& cable business group CPBG Competition business group ESBG Enterprise system business group 鴻富錦事業群 SABG system assembly business group 系統組裝事業群 NWE Net Work Enclosure NSE Network system enclosure NSG Network system group NFE Network flexible enclosure Foxcavity = HZ = Hong Zhun 鴻準 Stamping tool shop I 沖模一廠 Stamping tool shop II 沖模二廠 Prototype workshop 樣品中心 Steel factory 裁剪廠 PCE molding tooling workshop PCE塑模廠 Hua Nan test and measurement center 華南檢測中心 MPE mobile phone enclosure MPE MBE mobile phone and notebook enclosure 明塑厂 MGE Alloy magnesium alloy enclosure 鎂合金 Engineer standard 工標 Document center (database center)資料中心 Design Center 設計中心 Painting 烤漆(廠) Assembly組裝(廠) Stamping 沖壓(廠) Education and Training教育訓練 proposal improvement/creative suggestion提案改善 Technological exchange and study 技術交流研習會 Technology and Development Committee 技術發展委員會 BS Brain Storming 腦力激蕩 QCC Quality Control Circle 品質圈 PDCA Plan Do Check Action 計劃執行檢查總結 DCC delivery control center 交貨管制中心 3C Computer 電腦類產品 Consumer electronics 消費性電子產品 Communication 通訊類產品 Core value(核心价值) Love 愛心

石油化工英语常用缩写

石油化工英语常用缩写(第一版) 序号缩写英文中文 1 AC Air Conditioning 空气调节装置 2 AGO Atmospheric gas oil 常压瓦斯油 3 AML Approved Manufacturers' List 批准的厂商名单 4 APE Area Project Engineer 区域项目工程师 5 AR Atmospheric residue 常压渣油 6 ARDS Atmospheric residue desulfurization 常压渣油加氢脱硫 7 ASME American Society of Mechanical Engineers 美国机械工程师协会 8 BD Business Director 商务主任 9 BD Business Development 市场部 10 BEDP Basic Design Engineering Package 基础设计包 11 BFW Boiler feed water 锅炉给水 12 BL Battery limits 界区 13 BEDD Basic Engineering Design Data 基础工程设计数据 14 BM Bill of Material 材料表 15 BOD Basis of Design 设计基础 16 BOD Biological Oxygen Demand 化学需氧量 17 BP Boiling point 沸点 18 BS Bright stock 光亮油 19 BSI British Standards Institute 英国标准协会 20 BTEX Benzene, toluene, ethyl benzene, xylene 苯,甲苯,乙苯,二甲苯 21 BTU British thermal unit 英热单位 22 BTX Benzene, toluene, xylene 苯,甲苯,二甲苯 23 C Construction 施工 24 CAD Computer Aided Design 计算机辅助设计 25 CADD Computer Aided Design and Drafting 计算机辅助设计和绘图 26 CCR Conradson carbon residue 康氏残炭 27 CCR Continuous Catalyst Regeneration 催化剂连续再生 28 CDU Crude distillation unit 原油蒸馏装置 29 CGO Coker gas oil 焦化瓦斯油 30 CI Cetane index 十六烷指数 31 CL Center line 中心线 32 CM Construction Manager 施工经理 33 CN Conference Note 会议纪要 34 COD Chemical oxygen demand 化学需氧量 35 CPDP Chinese Preliminary Design Package 中国初步设计包 36 CPM Critical Path Method 关键路径法 37 CR Catalytic Reforming 催化重整 38 CS Carbon Steel 碳钢 39 CW Cooling Water 冷却水 40 FEED Front End Engineering Design 前期工程设计 41 GB GUO BIAO 国标 42 GCD Guaranteed Completion Date 保证完成日期 43 GG Gauge glass 玻璃液面计 44 GHSV Gaseous hourly space velocity 气体体积空速 45 GPH Gas phase hydrogenation 气相加氢 46 GSN Global Supply Network 全球供应网络 47 GTG Gas Turbine Generator 燃气涡轮发电机 48 GW Gross weight 毛重 49 HAZID Hazard Identification Review 危险识别审查 50 HAZOP Hazard and Operability Study 危险与可操作性研究

临床试验与实验室中常见的中英文名词与缩写

中国创新药咨询与服务先锋CRO 临床试验以及实验室中常见的英文缩写

药物临床试验英文缩写 缩略语英文全称中文全称 ADE Adverse Drug Event 药物不良事件 ADR Adverse Drug Reaction 药物不良反应 AE Adverse Event 不良事件 AI Assistant Investigator 助理研究者 BMI Body Mass Index 体质指数 CI Co-investigator 合作研究者 COI Coordinating Investigator 协调研究者 CRC Clinical Research Coordinator 临床研究协调者 CRF Case Report Form 病历报告表 CRO Contract Research Organization 合同研究组织 CSA Clinical Study Application 临床研究申请 CTA Clinical Trial Application 临床试验申请 CTX Clinical Trial Exemption 临床试验免责 CTP Clinical Trial Protocol 临床试验方案 CTR Clinical Trial Report 临床试验报告 DSMB Data Safety and monitoring Board 数据安全及监控委员会 EDC Electronic Data Capture 电子数据采集系统 EDP Electronic Data Processing 电子数据处理系统 FDA Food and Drug Administration 美国食品与药品管理局 FR Final Report 总结报告 GCP Good Clinical Practice 药物临床试验质量管理规范GCP Good Laboratory Practice 药物非临床试验质量管理规范GMP Good Manufacturing Practice 药品生产质量管理规范 IB Investigator’s Brochure 研究者手册 IC Informed Consent 知情同意 ICF Informed Consent Form 知情同意书 ICH International Conference on Harmonization 国际协调会议 IDM Independent Data Monitoring 独立数据监察 IDMC Independent Data Monitoring Committee 独立数据监察委员会 IEC Independent Ethics Committee 独立伦理委员会 IND Investigational New Drug 新药临床研究 IRB Institutional Review Board 机构审查委员会 IVD In Vitro Diagnostic 体外诊断 IVRS Interactive Voice Response System 互动语音应答系统 MA Marketing A pproval/Authorization 上市许可证 MCA Medicines Control Agency 英国药品监督局 MHW Ministry of Health and Welfare 日本卫生福利部 NDA New Drug Application 新药申请 NEC New Drug Entity 新化学实体 NIH National Institutes of Health 国家卫生研究所(美国)

宏观经济学所有的专业词汇英文缩写及其翻译

宏观经济学所有的专业词汇英文缩写及其翻译accounting 会计 accounting cost 会计成本 accounting profit 会计利润 adverse selection 逆向选择 allocation 配置 allocation of resources 资源配置 allocative efficiency 配置效率 antitrust legislation 反托拉斯法 arc elasticity 弧弹性 Arrow's impossibility theorem 阿罗不可能定理Assumption 假设 asymetric information 非对称性信息 average 平均 average cost 平均成本 average cost pricing 平均成本定价法 average fixed cost 平均固定成本 average product of capital 资本平均产量average product of labour 劳动平均产量average revenue 平均收益 average total cost 平均总成本 average variable cost 平均可变成本

B barriers to entry 进入壁垒 base year 基年 bilateral monopoly 双边垄断 benefit 收益 black market 黑市 bliss point 极乐点 boundary point 边界点 break even point 收支相抵点 budget 预算 budget constraint 预算约束 budget line 预算线 budget set 预算集 C capital 资本 capital stock 资本存量 capital output ratio 资本产出比率 capitalism 资本主义 cardinal utility theory 基数效用论 cartel 卡特尔 ceteris puribus assumption “其他条件不变”的假设

英语单词缩写大全简写带翻译

英语单词缩写大全简写带翻译 在英语的学习中,我们可以发现,很多英语单词都有它的缩写形式,下面是小编为大家整理的一些关于英语单词的缩写,希望能帮到大家。 公司内部门英文单词缩写Co.,Ltd.: Company Limited 的缩写 部门:Department/Division 简写为Dept. 人事部:HR Department(human resource) 行政部:Administration Department(比较常用)。也可以用Executive Department(有些公司也用这个)或者用Executive Branch(多用在银行) 市场部:Marketing Department 客服部:CUS Department 销售部:SALES Department 质量技术部:quality and Technology Division 研发部:R Administration 会计部:A/C Accountant Dept 资料中心:DC Document Center 质量管理部: Division of Quality Management. 质量总监Quality Administration

制造总部办公室Office of Manufacturing Headquarter 设计部:Design DPT. 注册公司常用英文单词缩写WTO----world trade organization 世贸 CEO----chief executive officer 总裁 RF cost Http---超文本传输协议(Hypertext transfer protocol); FTP----文件传输协议(File Transfer Protocol); TCP----传输控制协议(Transmission Control Protocol); IP-----网际协议(Internet Protocol); ISP----网络服务提供商(Internet Service Provider); DNS----域名服务器(Domain Name Server); IE-----网络浏览器(Internet Explorer); OE-----邮件收发软件(Outlook Express); BBS----电子布告栏系统; OICQ---网上聊天工具; LAN----局域网(Local Area Network); MAN----城域网(Metropolitan Area Network); WAN----广域网(Wide Area Network) ABS─防抱死制动系统 ABS英文全称是Anti-Lock Brake System。 EBD/EBV─制动力分配装置

常用石油(英文)缩写

石油化工英语常用缩写(第一版)(https://www.doczj.com/doc/6d13289255.html,石油软件下载) 序号缩写英文中文 1 AC Air Conditioning 空气调节装置 2 AGO Atmospheric gas oil 常压瓦斯油 3 AML Approved Manufacturers' List 批准的厂商名单 4 APE Area Project Engineer 区域项目工程师 5 AR Atmospheric residue 常压渣油 6 ARDS Atmospheric residue desulfurization 常压渣油加氢脱硫 7 ASME American Society of Mechanical Engineers 美国机械工程师协会 8 BD Business Director 商务主任 9 BD Business Development 市场部 10 BEDP Basic Design Engineering Package 基础设计包 11 BFW Boiler feed water 锅炉给水 12 BL Battery limits 界区 13 BEDD Basic Engineering Design Data 基础工程设计数据 14 BM Bill of Material 材料表 15 BOD Basis of Design 设计基础 16 BOD Biological Oxygen Demand 化学需氧量 17 BP Boiling point 沸点 18 BS Bright stock 光亮油 19 BSI British Standards Institute 英国标准协会 20 BTEX Benzene, toluene, ethyl benzene, xylene 苯,甲苯,乙苯,二甲苯 21 BTU British thermal unit 英热单位 22 BTX Benzene, toluene, xylene 苯,甲苯,二甲苯 23 C Construction 施工 24 CAD Computer Aided Design 计算机辅助设计 25 CADD Computer Aided Design and Drafting 计算机辅助设计和绘图 26 CCR Conradson carbon residue 康氏残炭 27 CCR Continuous Catalyst Regeneration 催化剂连续再生 28 CDU Crude distillation unit 原油蒸馏装置 29 CGO Coker gas oil 焦化瓦斯油 30 CI Cetane index 十六烷指数 31 CL Center line 中心线 32 CM Construction Manager 施工经理 33 CN Conference Note 会议纪要 34 COD Chemical oxygen demand 化学需氧量 35 CPDP Chinese Preliminary Design Package 中国初步设计包 36 CPM Critical Path Method 关键路径法 37 CR Catalytic Reforming 催化重整 38 CS Carbon Steel 碳钢 39 CW Cooling Water 冷却水 40 FEED Front End Engineering Design 前期工程设计 41 GB GUO BIAO 国标 42 GCD Guaranteed Completion Date 保证完成日期

物流名词的缩写全称中英文对照

物流名词的缩写全称中英文对照 3G 3rd Generation Networks 第三代网络 3PL 3rd Party Logistics 第三方物流 4PL 4th Party Logistics 第四方物流 5-S Seiri, Seiton, Seiso, Seiketsu, Shitsuke 常组织、常整顿、常规范、常自律 A AMPS Administrative Monetary Penalty System 行政罚款制度 API Application Programming Interface 程序设定接口 ARENA Asia North America Eastbound Rate Agreement 亚洲北美东向运输协会 ASME American Society of Mechanical Engineers 机械工程师美洲协 ASP Active Sever Page 动态伺服端网页 AS/RS Automated Storage and Retrieval System 自动化存储和修复系统操作 AWB Air Waybill 空运提单 B B2B Business to Business 企业与企业之间的交易 B2C Business to Customer 企业与客户之间的交易 BAF Bunker Adjustment Factor 燃油附加费 B/B Break Bulk 散件杂货 BCC Blind Carbon Copy 密件抄送 BCO Beneficial Cargo Owner 货物拥有者权益 BIMCO The Baltic and International Maritime Council 波罗的海国际海运公会 B/L Bill of Lading 海运提单 BPO Business Process Outsourcing 企业外判程序 BSP British Standard Pipe Tread 英国标准的管子踩踏 C CAF Currency Adjustment Factor 币值附加费 CBM Cubic Metre 立方米 C&F Cost and Freight 成本和运费 CC Carbon Copy 抄送 CDMA Code Division Multiple Address 分发(部门)若干地址编码CEPA Closer Economic Partnership Arrangement 更紧密经贸关系的安排 CFM Cubic Feet per Minute 每分钟的立方尺 CFR Cost and Freight 成本和运费 CFS Container Freight Station 集装箱货物集散站 CGI Common Gateway Interface CGI 界面 CIF Cost, Insurance and Freight 成本和运费兼付保险费 CILT The Chartered Institute of Logistics and Transport 英国特许物流运输学会 CIM The Chartered Institute of Marketing 英国特许市务学会 CIS Commonwealth of Independent States 独立国家联合体 CLM The Council of Logistics Management 物流管理学会 CIP Carriage and Insurance Paid To 兼付指定运费及保险费 CLP Container Load Plan 集装箱/装载计划表 CNOOC China National Offshore Oil Corp. 中国海洋石油总公司 C/O Certificate of Origin 产地来源证 C.O.C. Carrier's Own Container 船东提供集装箱 C.D. Cash On Delivery 交货付现 COFC Container-on-flatcar 集装箱输送 COSCO China Ocean Shipping Company 中国远洋运输公司COSL China Oilfield Services Limited 中海油田服务股份有限公司CPFR Collaborative Planning Forecasting and Replenishment 预报和补充合作计划 CPT Carriage Paid To 兼付指定运费 CRM Customer Relationship Management 客户关系管理

计算机网络名词 英文缩写解释大全

计算机网络名词 英文缩写解释大全 计算机网络名词 英文缩写解释大全 AAL ATM适配层ATM Adaptation Layer ABR 可用比特率Available Bit Rate  ACR 衰减串扰比  ADPCM 自适应差分PCM ADSL 非对称数字环路Asymmetric Digital Subscriber Line AMI ATM Management Interface AMPS 先进型移动电话系统Advanced Mobile Phone System ANS 高级网络与服务Advanced Networks and Services ANSI 美国国家标准协会American National Standard Institute APON 无源光纤网络  ARP 地址解析协议Address Resolution Protocol ARQ 自动重发请求Automatic Repeat Request AS 自制系统Autonomous System ASIC Application Specific Integrated Circuit(Chip)

ASN.1 Abstract Syntax Notation One ATD 异步时分复用Asynchronous Time Division ATM 异步传输模式Asynchronous Transfer Mode BBS 电子公告板Bulletin Board System BER 误比特率bit error rate BGP 边界网关协议Border Gateway Protocol BICMOS 双极型CMOS BIP-8 Bit Interleaved Parity-8 B-ISDN 宽带综合业务数字网Broadband Integrated Services Digital Network BMI Bus-Memory Interface BOOTP 引导协议BOOTstrapping Protocol BRI 单一ISDN基本速率  BUS 广播和未知服务器Broadcast/Unknown Server CAC 连接接纳控制Connection Admission Control CATV 公用天线电视  CBDS 无连接宽带数据服务  CBR 连续比特率Continuous Bit Rate  CCITT 国际电话电报咨询委员会  CD Carrier Detect

术语和缩写词汇表

术语和缩写词汇表 ADH——自动文件处理。 CD-ROM——只读光盘存储器,计算机数据存储在光盘上。存储在光盘上的信息能读出,但不能改变或擦除。一张光盘能保存550兆位数据或9千万文字。 CD-WORM——一次性写入多次阅读光盘,这些光盘允许计算机数据写到光盘上,之后不能更改或擦除,这些数据能被访问。 COM——计算机输出到微缩胶片,这些是综合了微缩胶片技术的计算机系统。计算机数据以微缩胶片的形式直接输出。 CPU——中英处理器。 DOS——磁盘操作系统。 dpi分辨率。 DTP——桌面出版。 E-mail——电子邮件,信息能使用计算机系统发出电子邮件。该系统的成员可以分配“邮箱”。消息发送到邮箱内,收件人从邮箱内阅读或打印邮件。 EDI——电子数据交换,结构化信息在计算机之间自动交换和处理,例如货物订单能通过EDI 从一台计算机发送到另一台,然后接收公司的计算机能通过自动通过仓库和会计系统处理订单。 EDIFACT——EDI在管理,商业和运输上的应用。 EDMS——电子文件管理系统,信息和文件处理的计算机化管理。所有信息和数据存储在 CD-WORMS上。纸面文件被扫描进计算机,并存储在CD-WORMS上。 Fax_——传真机把图像转换成信号,信号通过电信网络发送到另一台传真机,然后信号再转换成图像。可用于快递从一处传递到另外地方。两个地方都需要有传真机和适合的电信连接。 预付款——是最常用的另用现金系统。流动资金在一定的时间内固定一定的数量,例如一个月保持50美元。到期后,要求偿付全部花销偿付来恢复流动资金额。 因特网——是世界范围计算机网络。它能用计算机、电话线和适当的软件访问。它能用于发送电子邮件或对万维网和用户组的访问。 ISDN——综合业务数字网。 ISO——国际标准化组织。 IVR——交互式语音问答。

相关主题
文本预览
相关文档 最新文档