当前位置:文档之家› 测井及井眼绘图软件

测井及井眼绘图软件

Golden.Software产品:

Golden Software Didger v5.11.1438 Full Win32_64 1CD

Golden Software Grapher v12.0.607 Win32_64 1CD

Golden Software Grapher 11.5.791 Portable Win32_64 1CD

Golden Software Grapher 11.5.791 Win32_64 1CD

TEL:182********QQ:1443213449

Golden.Software.Grapher.v4.00 中文教程

Golden Software MapViewer v8.3.311 Full Win32_64 1CD

Golden.Software.Strater.v4.7.1742 Win32_64 1CD(测井及井眼绘图软件)

Golden.Software.Surfer.v13.3.493.Full.Win32_64 1CD

Golden.Software.Voxler.v4.1.509 Win32_64 1CD

Golden Software Voxler v3.0.1406 Win64 1CD

Surfer8.0 汉化注册版1CD(地质工作者必备的专业成图软件)

Surfer8.0 初学者中文参考手册

MacKichan产品:

CutMaster 2D Lite v1.3.2.4 1CD

DPlot.v2.3.4.4 1CD(用图形表示和处理来自于多种不同形式数据源的2D、3D数据)

FlexPDE.Professional.3D.v5.0.22 1CD(偏微分三维有限元计算软件)

FlexPDE.Professional.3D.v5.0.22.x64 1CD

FlexPDE.Professional.3D.v5.0.22.Linux 1CD

FlexPDE.Professional.3D.v5.0.22.Linux.x64 1CD

FlexPDE.Professional.3D.v5.0.22.MacOSX.Intel 1CD

FlexPDE.Professional.3D.v5.0.22.MacOSX.PPC 1CD

FlexPDE.Professional.3D.v5.0.17.MacOSX.x64 1CD

MDSolids.v4.1.0 1CD(优秀的材料力学教学辅助软件)

Tecplot产品:

Tecplot.RS.2015.v2.0.67923.Win64 & Lniux64 2CD

Tecplot.RS.2014.R2.v2014.2.1.57592.Win32_64 1CD(油气体视觉化软件)

Tecplot.RS.2014.R2.Linux64 1CD

Tecplot 360 EX 2016 v16.1.1.68252 Win64 Linux64 macOSX64 3CD

Tecplot.360.EX.2015.R2.v15.2.1.62273.MacOSX 1CD

Tecplot 360 EX 2015 R2 v15.2.0.61060 Win32_64 & Linux64 & MacOSX64 4CD

Tecplot.360.EX.2015.R1.v15.1.0.57526.Win32_64 2CD

Tecplot.360.2012.R1.v14.0.0.25097.64.MacOSX 1CD

Tecplot.360.2012.R1.v14.0.0.25097.Linux.MacOSX 1DVD

Tecplot.360.2009.R2-ISO 1DVD(包括所有的Windows、Linux、Mac OSX 32位和64操作系统,

计算流体力学CFD视觉化软件工具)

Tecplot Chorus 2016 R1 M1 Win64 & Linux64 2CD

TecPlot.Chorus.DE.2013.R1.Win64 1CD

Tecplot.Focus.2016.v16.1.1.68252.Linux64 & MacOSX 2CD

Tecplot Focus 2016 R1 Build 16.0.1.67556 Win64 Linux64 macOSX64 3CD

Tecplot.Focus.2013.R1.v14.0.2.3336.Win32_64 2CD

Tecplot.Focus.2012.R1.v14.0.0.25097.64.MacOSX 1CD

Tecplot.Focus.2012.R1.v14.0.0.25097.Linux.MacOSX 1CD

Tecplot.Focus.2009.R2-ISO 1DVD(工程科学绘图软件)

Tecplot 中文使用说明

Tecplot 实战

Thomson.EndNote.v8.0.1-ISO 1CD(专业参考文献查询软件,可在线查找Ineternet上的各种文献数据库,将查找到的

资料保存入本地数据库,并自动生成格式化的参考文献清单插入各种文字处理软件)

SmartDraw.Suite.Edition.v7.0.Retail 1CD

SmartDraw Professional Plus 6.08

-+宿主软件+-

~~~~~~~~~~~~~

HUMMINGBIRD产品:

Exceed 10.0+ 3D 10.0 WinAll(销售最好和性能最高的PC X服务器,结合了PC和X 计算环境) Exceed v7.1 + 3D v7.1-ISO 1CD

Exceed.3D.2007.v12.0.Multilingual.WinAll 1CD(销售最好和性能最高的PC X服务器,结合了PC和X 计算环境)

Exceed.2007.v12.0.Multilingual.WinAll 1CD

Exceed.PowerSuite.2008.v13.0.Working 1CD(软件包是企业用户用于连接企业网络中类型繁多的现有系统的工具)

Exceed PowerSuite 2006 v11.0 MultiLanguage X64 1CD

Xceed.Ultimate.Suite.v11.4.11525.1644 1CD(包括了所有Xceed顶级.NET和ActiveX可视化组件的开发工具包)

数学及统计软件:

Mathworks Matlab R2016a Win64-ISO 1DVD

Mathworks Matlab R2016a Linux64 1DVD

Mathworks Matlab R2015b Win32_64-ISO 2DVD

Mathworks Matlab R2015b Linux64-ISO 1DVD

Mathworks Matlab R2015b MacOSX64-ISO 1DVD

Mathworks.Matlab.R2014b.Win32_64-ISO 2DVD

Mathworks.Matlab.R2014b.Linux64-ISO 1DVD

Mathworks.Matlab.R2014b.MacOSX-ISO 1DVD

Mathworks.Matlab.R2014a.v8.0.3.Win32_64-ISO 1DVD(辅助设计最新完全版)

Mathworks Matlab R2014a v8.03 Linux & MacOSX-ISO 1DVD

Mathworks.Matlab.R2012b.v8.00.UNIX.DVD-ISO(支持Linux x86, Linux x86_64, Mac, Mac X (intel), Solaris 64 操作系统)

Minitab.v17.1.0 1CD

Maplesoft.Maple.v2015.1.Win32_64 2DVD

Maplesoft Maple 2015.0 Win32_64.&.Linux64 3CD

Maplesoft Maple v18.0 Win32_64.&.Linux64 3CD

Maplesoft Maple 16.01 Win32_64 & Linux & MacOSX-ISO 1DVD(微积分、线性代数和微分方程等高等数学使用的软件包)

MapleSoft.Maple v17.0 Win32_64 2CD

Maplesoft.Maple.v17.0.Linu64-ISO 1CD

Maplesoft.Maple.v15.01.with.MapleSim.v5.0.Win32 1CD

Maplesoft MapleSim v7.01 Win32_64 2CD

Maplesoft MapleSim v7.01 Linux64 1CD

Maplesoft.MapleSim.v2.0.Linux 1CD

Maple.Toolbox.for.Maple.v13.0 1CD

Maple.Toolbox.for.Matlab.v13.0.Linux 1CD

Maple.Toolbox.for.Matlab.v13.0.x64 1CD

Waterloo.Maple.8-ISO 1CD(用于解决微积分、线性代数和微分方程等高等数学问题的软件包)Mathematica.Link.for.excel v2.2 1CD

Research.Mathematica.v7.0-ISO 1CD

Daniel Hyams GraphExpert Professional v1.1 Win32 1CD

Embarcadero Delphi XE8 v22.0.19027.8951 Lite 11.0 1DVD

Embarcadero.DBArtisan.v8.6.2.3952 1CD(企业异质数据库)

Embarcadero.ERStudio.v8.0.3.6063 1CD

Embarcadero.Rapid.SQL.v7.6.2.3433 1CD

Flexlm SDK v7.2A 1CD

Functor.v2.9 1CD(一款数学/科学软件,允许你创建二元函数Z=f(x,y)的图像,可以将图像导出为位图或者VRML中的3D对象)

Gambit.MIMIC.Simulator.Suite.v7.11(虚拟网络实验室)

Gambit.MIMIC.Simulator.Suite.7.11.Linux

https://www.doczj.com/doc/6312640046.html,NA.1.11

https://www.doczj.com/doc/6312640046.html,NA.1.11.Linux

GraphingCalc v1.35 1CD(一款数学图形生成工具,可以通过数学公式生成2D或3D的数学图形,是学习代数、三角和微积分等课程的理想工具)

Design.Science.MathType.v6.6 1CD

MechCAD AceMoney v3.4.2 1CD

MLAB v1.0 datecode 20040609 1CD(一个高级的数学和统计建模系统,可运行在各种平台上)Matrices Solver Platinum 2004 v1.0.0 1CD(可运算矩阵中的相关事物的软件)

Mosek.ApS.Mosek.v7.1.0.46.Win32_64 2CD

Mosek.ApS.Mosek.v7.1.0.46.Linux32_64 2CD

Mosek.ApS.Mosek.v7.1.0.46.MacOSX 1CD

MVSP v3.13n(多变量统计分析软件包)

Polymath.Professional.v6.10.build.260 1CD(科学与工程的数学问题的求解工具)

Powersim Studio 2005 v6.00.3372.6 1CD(强大的系统动力学分析工具)

SAS.JMP.Statistical.Discovery.v11.0 1CD(统计软件)

SAS JMP Pro 12.1.0 MacOSX 1CD

SciFace.MuPAD.Pro.v4.02 1CD(开放式计算机代数系统,可进行符号和数字计算)

Systat v13.1 Win32_64 2CD(使用统计学和丰富图表的分析软件,显示2D/3D图形,结果可用对数与指数显示或旋转转换)

Systat.AutoSignal.v1.70 1CD

Systat.PeakFit.v4.12.00 1CD

Systat.SigmaPlot.v12.5.0.88 1CD

Systat.TableCurve.2D.v5.01.02 1CD

Systat.TableCurve.3D.v4.0.01 1CD

S-plus2000 1CD

S-Plus Pro v8.04 1CD(基于S语言统计软件)

IBM.SPSS.Amos.v23 1CD

IBM.SPSS.Data.Collection.v7.Win32 1CD

IBM.SPSS.Data.Collection.v7.Win64 1CD

IBM.SPSS.Statistics.v23.Win32_64 2CD

IBM.SPSS.Statistics.v23.Multilingual.Linux 1CD

IBM.SPSS.Statistics.v23.Multilingual.MacOSX 1CD

IBM.SPSS.Sample.Power.v3.0.1 1CD

IBM.SPSS.Visualization.Designer.v1.0.3 1CD

SPSS Clementine v12.0 MultiLanguage-ISO 1CD(数据挖掘)

SPSS.v16.0.1-ISO 1CD(统计分析软件)

SPSS.v16.0.Linux-ISO 1CD

SPSS 15.0 FullCD and 15.0.1-ISO 2CD(中文版)

SPSS TableCurve 2D v5.0 1CD

Thomas.Maienschein.pkMath.v06.19.07 1CD(通用的数学计算软件,运行在Windows 系统平台上,并且使用了OpenGL 技术)

CDAJ产品:

Speed v2011.0-ISO 1CD(电机设计软件)

SPEED 2000 user Manual

Magneforce v4.0 Windows 1CD(专业电机设计与电磁分析软件)

COBHAM产品:

Opera v12.003 1CD(完整的电磁场3D分析软件)

SAMTECH产品:

Samcef For Wind Turbines v1.1-ISO 1DVD(风力涡轮发电机设计的专业工程软件)

JMAG产品:

JMAG-Designer 14.0.01t Win64 & Linux64 2DVD

Jmag Designer 12.0 Win64-ISO 1DVD

JMAG-Designer v11.0 Win32_64-ISO 2DVD

JMAG-Designer v11.0 SLS&Sample Data-ISO 1DVD

JMAG Designer v10.3.03k Win32-ISO 1DVD(马达电磁分析软件) JMAG Designer v10.3.03k Win64-ISO 1DVD

JMAG Studio v10.02201a Win32-ISO 1DVD

Infolytica Corporation产品:

Infolytica Products 25CD

included:

Actuator Plug-In for Simulink 2.2.2 Win32_64 2CD

ElecNet 7.5 Win32_64 2CD

MagNet 7.5 Win32_64 2CD

MagNet For SolidWorks 1.0.0 Win64 1CD

MagNet Plug-In for PSIM 1.3.2 Win32_64 2CD

MagNet Plug-In for Simulink 2.2.2 Win32_64 2CD

MotorSolve 4.11 1CD

MotorSolve 4.11 zh-CHS 1CD

MotorSolve 5.0 1CD

MotorSolve Plug-In for Simulink 2.2.0 Win32_64 2CD

OptiNet 7.5 1CD

Speed PC-BDC Importer 2.5 1CD

System Model Generator 2.3 1CD

ThermNet 7.5 Win32_64 2CD

Three Phase Machine Plug-In for Simulink 2.2.2 Win32_64 2CD Trajectory Evaluator 3.0 1CD

Infolytica MagNet v7.4.1.4 Win32 1CD

InfoLytica.MagNet.v7.1.1 1CD(高精度磁场解析模拟软件)

Silvaco产品:

Silvaco AMS v2010.00 Win32 1CD

Silvaco AMS 2008.09 Linux 1CD

Silvaco AMS 2008.09 Linux64 1CD

Silvaco AMS 2008.09 Solaris 1CD

Silvaco AMS 2008.09 Manual 1CD

Silvaco Iccad 2008.09 1CD

Silvaco Iccad 2008.09 Linux 1CD

Silvaco Iccad 2008.09 Linux64 1CD

Silvaco Iccad 2008.09 Solaris 1CD

Silvaco Iccad 2008.09 Manual 1CD

Silvaco Logic 2008.09 1CD

Silvaco Logic 2008.09 Linux 1CD

Silvaco Logic 2008.09 Linux64 1CD

Silvaco Logic 2008.09 Solaris 1CD

Silvaco Logic 2008.09 Manual 1CD

Silvaco TCAD 2014.00 Win32 1DVD

Silvaco TCAD 2012.00 Win32_64 1DVD

Silvaco TCAD 2010.00 Linux 1CD

Silvaco TCAD 2012 Linux64 1DVD

Silvaco TCAD 2008.09 Solaris 1CD

Silvaco TCAD 2008.09 Manual 1CD

Silvaco Catalyst 2008.09 Linux 1CD

Silvaco Catalyst 2008.09 Linux64 1CD

Silvaco Catalyst 2008.09 Solaris 1CD

Silvaco Char 2008.09 Linux 1CD

Silvaco Char 2008.09 Linux64 1CD

Silvaco Char 2008.09 Solaris 1CD

Silvaco Firebird 2008.09 Linux 1CD

Silvaco Firebird 2008.09 Linux64 1CD

Silvaco Firebird 2008.09 Solaris 1CD

Silvaco Mode 2008.09 Linux 1CD

Silvaco Mode 2008.09 Linux64 1CD

Silvaco Mode 2008.09 Solaris 1CD

Silvaco Parasitic 2008.09 Linux 1CD

Silvaco Parasitic 2008.09 Linux64 1CD

Silvaco Parasitic 2008.09 Solaris 1CD

Silvaco UT 2007.04 Linux 1CD

Silvaco UT 2007.04 Linux64 1CD

Silvaco UT 2007.04 Solaris 1CD

Silvaco VWF 2007.04 Linux 1CD

Silvaco VWF 2007.04 Linux64 1CD

Silvaco VWF 2007.04 Solaris 1CD

Parallel SmartSpice 1.9.3.E 1CD

AVANT!/SYNOPSYS产品:

Actel.Designer.v8.3.SP1.Windows-ISO 1CD(专业的FPGA器件开发软件,是一个能使FPGA的系统性能提高15%的布局工具)

Actel.Designer.v8.4.2.6.SP2-ISO 1CD

Actel.Designer.v8.3.SP1.Linux-ISO 1CD

Actel.Designer.v8.3.SP1.Solaris-ISO 1CD

Actel.Flashpro.v8.4-ISO 1CD(编程器)

Actel.Libero.IDE.Platinum.SP1.v8.6.2.10 1CD

Actel.Libero.IDE.v8.4.Windows-ISO 1DVD(FPGA产品设计)

Actel.Libero.IDE.v8.4.Linux-ISO 1DVD

Actel.CoreConsole.v1.4 1CD(IP开发平台(IDP),有助于简化以FPGA为基础系统级应用的构

建)

Spec-TRACER.2013.12.Windows 1CD(ALDEC推出需求跟踪管理工具Spec-TRACER,能对FPGA 项目的需求进行跟踪,还能分析

项目的每个需求是否都得到了充分地验证,大大提高了交付项目的稳定性及可靠)

Synopsys Astro vZ-2007.03 SP10 Linux 1CD

Synopsys Astro vZ-2007.03 SP10 LinuxAMD64 1CD

Synopsys Astro IU vZ-2007.03 SP10 Linux 1CD

Synopsys Astro IU vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Rail vZ-2007.03 SP7 Linux 1CD(一个全面的功耗完整性分析和实现工具)Synopsys.Astro-rail vZ-2007.03 SP7 LinuxAMD64 1CD

Synopsys.Astro-rail vZ-2007.03 SP7 Linuxipf 1CD

Synopsys Astro Rail vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARC64 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARCOS5 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE64 1CD

Synopsys.Aurora.vX-2006.09.Linux 1CD

Synopsys.Cadabra.vB-2008.09 Linux 1CD

Synopsys.Cadabra.vB-2008.09 SparcOS5 1CD

Synopsys.Cadabra.vB-2008.09 Suse32 1CD

Synopsys Certify vG-2012.09 Win32 1CD

Synopsys Certify vE-2011.09 Linux 1DVD

Synopsys.CoCentric.System.Studio vX-2005.06 SP1 Linux 1CD

https://www.doczj.com/doc/6312640046.html,mon.Licensing(Scl) v1.2 for WinNT 1CD

Synopsys Component vC-2009.06 SP1 Win32 1DVD

Synopsys Component vC-2009.06 SP1 Linux 1DVD

Synopsys CoreTools vJ-2014.12 SP1 Linux32_64 2CD

Synopsys Core Synthesis Tools vJ-2014.09 SP3 Linux64 1DVD

Synopsys.CoreSynthesis.v2002.05 Linux 1CD

Synopsys.Cosmoscope.vJ-2015.03.Windows 1CD

Synopsys Cosmoscope vJ-2015.03 Linux32_64 2CD

Synopsys Cosmoscope vB-2008.09 SP1 Linux64 1CD

Synopsys CoCentric System Studio(CSS) vE-2010.12 Linux 1DVD

Synopsys CoCentric System Studio(CSS) vE-2010.12 Msvc50 1CD

Synopsys CSS vG-2012.03 SP2 Linux 1DVD

Synopsys CSS vC-2009.03 SP1 SparcOS5 1CD

Synopsys CSS vC-2009.03 SP1 GccsparcOS5 1CD

Synopsys.Customdesigner vC-2009.06 Linux 1CD

Synopsys.Customdesigner vC-2009.06 LinuxAMD64 1CD

Synopsys Customexp vG-2012.06 SP1 Linux32_64 2CD

Synopsys Customexp vG-2012.06 SP1 Win32 1CD

Synopsys.CustomExplorer.vK-2015.06.Windows 1CD

Synopsys.CustomExplorer.vH-2013.03.SP2.WinALL 1CD

Synopsys.CustomExplorer.vK-2015.06.Linux64 1CD

Synopsys.CustomExplorer.vH-2013.03.SP2.Linux32_64 2CD Synopsys.CustomExplorer.vK-2015.06.SI32 1CD

Synopsys CustomSim 2014.09 SP4 Linux64 1DVD

Symopsys CustomSim (XA) 2013 Linux32_64 2CD(支持多核仿真) Synopsys DC 2000.05 WinALL 1CD

Synopsys Core Synthesis Tools(syn) vK-2015.06 Linux64 1CD

Synopsys (Design Complier) Syn vH-2013.03 Linux32_64 2CD Synopsys Syn vB-2008.09 SP5-2 SUSE32 1CD

Synopsys Syn vB-2008.09 SP5-2 SUSE64 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol32 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol64 1CD

Synopsys DDR DDR2 PHY TSMC 65GP25 Linux 1CD

Synopsys DWC DDR2 SMIC 130G33 Linux 1CD

Synopsys Design Compiler 2008.09 Linux 1CD

Synopsys.Designware.IP.v2001.08 Linux 1CD

Snopysys DesignWare System-Level Library vD-2009.12-SP2 Linux 1DVD Snopysys DesignWare System-Level Library vD-2009.12-SP2 Linux64 1DVD Synopsys DFT Compiler 1 v2006.06 Linux 1CD

Synopsys DSP vC-2009.03 SP1 Win32 1CD

Synopsys DSP vC-2009.03 SP1 Linux 1CD

Synopsys Embedit Integrator vJ-2014.12 SP1 Linux32_64 2DVD Synopsys.ESP.vG-2012.06.Linux32_64 3CD

Synopsys.ESP.vC-2009.06 Rs6000 1CD

Synopsys ESP vC-2009.06 Sparc64 1CD

Synopsys ESP vC-2009.06 SparcOS5 1CD

Synopsys ESP vC-2009.06 SUS32 1CD

Synopsys ESP vC-2009.06 SUS64 1CD

Synopsys ESP vC-2009.06 x86SOL32 1CD

Synopsys ESP vC-2009.06 x86SOL64 1CD

Synopsys Finesim(FSIM) vK-2015.06 Linux64 1DVD

Synopsys.FineSimPro.2012.12.SP3.Linux 1CD

Synopsys.Formality.vK-2015.06.Linux64 1CD

Synopsys Formality.vE-2010.12 SP2 Linux 1CD

Synopsys Formality vJ-2014.09 SP3 Linux64 1CD

Synopsys FPGA Synthesis Products vJ-2015.03 SP1.Windows 1DVD Synopsys.Fpga.Synthesis.vJ-2015.03.Linux 1DVD

Synopsys Fpga Synthesis vG-2012.09.SP1 Windows 1CD

Synopsys Fpga Synthesis vG-2012.09.SP1 Linux 1DVD

Synopsys FPGA Synthesis Products vI-2014.03 Windows 1DVD Synopsys FpGA Compiler II v3.8 1CD

Synopsys.FPGA Express.V3.6.1.6817.Winall 1CD

Synopsys.FPGA.Express.Xilinx.Edition.V3.6.1 1CD

Synopsys Galaxy Constraint Analyzer vH-2013.06 SP1 Linux 1CD

Synopsys Galaxy Custom Designer 2012.09-SP1 Linux32_64 2DVD

Synopsys Hercules vB-2008.09-SP5 Linux32_64 2CD

Synphony HLS vD-2009.12 1CD

Synphony HLS vD-2009.12 Linux 1CD

Synopsys Hsimplus vC-2009.06 WinALL 1CD(高性能的晶体管级仿真器)

Synopsys Hsimplus vG-2012.06-SP1 Linux32_64 2DVD

Synopsys Hsimplus vC-2009.06 Sparc64 1CD

Synopsys Hsimplus vC-2009.06 SparcOS5 1CD

Synopsys Hsimplus vC-2009.06 SUS32 1CD

Synopsys Hsimplus vC-2009.06 SUS64 1CD

Synopsys Hsimplus vC-2009.06 x86SOL32 1CD

Synopsys Hsimplus vC-2009.06 x86SOL64 1CD

Synopsys Hspice.vH-2014.09-2 WinALL 1CD(完全安装版,高精确度的模拟电路仿真软件)Synopsys Hspice vK-2015.06.Linux32_64 2CD

Synopsys Hspice.vG-2014.09-2 Linux32_64 2CD

Synopsys.Hspice.vJ-2014.09-2.Suse32_64 2CD

Synopsys Hspice vC-2009.03 SP1 SparcOS5 1CD

Synopsys Hspice vY-2006.09.SP1 Doc 1CD

Synopsys IC Compiler II vK-2015.06 Linux64 1CD

Synopsys IC Compiler vk-2015.06 Linux64 1DVD

Synopsys IC Compiler vH-2013.03 Linux32_64 2CD

Synopsys IC WorkBench(ICWB)vV-2004 Solaris 1CD(高速版图设计可视化和光刻分析) Synopsys Ident vC-2009.06 SP2 Win32 1CD

Synopsys Ident vC-2009.06 SP2 Linux 1CD

Synopsys Identify vH-2012.12 Win32 1DVD

Synopsys Identify vH-2012.12 Linux 2DD

Synopsys Power Fault IDDQ(idq) vK-2015.06 Linux64 1CD

Synopsys IDQ vC-2009.06 SP3 Linux 1CD

Synopsys IDQ vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys IDQ vC-2009.06 SP1 SUS32 1CD

Synopsys IDQ vC-2009.06 SP1 SUS64 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL32 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL64 1CD

Synopsys Innovator v2009.12 SP1 Win32 1CD

Synopsys ISE TCAD v10.0 Linux 2CD(半导体元件制造与模拟软件)

Synopsys Jupiter vY-2006.06 SP1 Linux 1CD

Synopsys.Jupiterxt vZ-2007.03 SP10 Linux 1CD

Synopsys LEDA vH-2013.03 Linux 1CD

Synopsys LEDA vB-2008.06 LinuxAMD64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Linux 1CD

Synopsys Liberty NCX vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Sparc64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SparcOS5 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL64 1CD

Synopsys NCX vE-2010.12 SP3 Linux64 1CD

Synopsys Magellan vB-2008.09 Linux 1DVD

Synopsys Magellan vB-2008.09 LinuxAMD64 1DVD

Synopsys.Mempro.v2001.11.For.NT 1CD

Synopsys Milkyway vJ-2014.09 SP3 Linux64 1CD

Synopsys Milkyway vG-2012.06 SP3 Linux32_64 2CD

Synopsys.MW.vH-2013.03.Linux32_64 2CD

Synopsys NanoSim tool vC-2009.06 Linux 1CD

Synopsys NanoSim tool vC-2009.06 LinuxAMD64 1CD

Synopsys.NanoSim.vB-2008.09.Sparc64 1CD

Synopsys.NanoSim.vB-2008.09.SparcOS5 1CD

Synopsys MVtools vH-2013.06 Linux32_64 2CD

Synopsys.Mvtools.vJ-2014.12.SP1.Linux64 1CD

Synopsys Ncx vB-2008.12 Linux 1CD

Synopsys NS Hsim XA vD-2010.03 Linux 1DVD

Synopsys NS Hsim XA vC-2010.03 LinuxAMD64 1DVD

Synopsys NS Hsim XA vC-2009.06 SparcOS5 1CD

Synopsys NS Hsim XA vC-2009.06 SUS32 1CD

Synopsys NS Hsim XA vC-2009.06 SUS64 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL32 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL64 1CD

Synopsys NS Hsim XA vC-2009.06Sparc64 1CD

Synopsys.NT.vC-2009.06.Linux 1CD

Synopsys PCI-X v2.0 1CD

Snopsys Platform Aarchitecture vJ-2015.03 Linux 1DVD

Synopsys PP vV-2003.12 SP1 Linux 1CD

Synopsys.PrimePower vY-2006.06 Linux 1CD

Synopsys Primerail vA-2008.12.SP1 Linux 1CD

Synopsys primerail vD-2010.06 SP1 LinuxIA32 1CD

Synopsys primerail vB-2008.12 SP1 LinuxAMD64 1CD

Synopsys PrimeTime 2000.05-1 for winNT 1CD(静态时序分析工具)Synopsys Primetime vD-2009.12 SP3 Linux 1CD

Synopsys Primetime vD-2009.12 SP3 LinuxAMD64 1CD

Synopsys PT vK-2015.06 Linux64 1CD

Synopsys PrimeTime StandAlone(PTS) vK-2015.06 Linux64 1CD Synopsys.PTS.vH-2013.06.Linux32_64 2DVD

Synopsys PWA tool vD-2009.12 Win32 1CD

Synopsys PWA tool vD-2009.12 Linux64 1CD

Synopsys Pycell Studio 2014.09 Windows 1CD

Synopsys Pycell Studio v2014.09 Linux 1CD

Synopsys Ranxt vD-2009.12 SP3 Linux32_64 2CD

Synopsys Ranxt vC-2009.06 SP1 SparcOS5 1CD

Synopsys Ranxt vC-2009.06 SP1 SUS32 1CD

Synopsys Ranxt vC-2009.06 SP1 SUS64 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL32 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL64 1CD

Synopsys Saber vJ-2015.03 Linux 1DVD

Synopsys Saber vI-2013.12 Linux 1DVD

Synopsys Saber vJ-2015.03 Windows 1DVD

Synopsys Saber vI-2013.12 Windows 1DVD

Synopsys Saber vE-2011.03 WinALL 2DVD(不同的工程领域--水利、电气、电子及机械等进行

物理作用仿真的软件,也可作为信号流算法软件) Synopsys SaberRD vJ-2015.03 Windows 2DVD

Synopsys SaberRD vD-2011.03.Win32 2DVD

Synopsys SaberHDL Y-2006.06 WinALL 1CD

Synopsys.Sentaurus.vG-2012.06.SP2.Linux 1DVD

Synopsys.Sentaurus.vH-2013.03.Linux64 1DVD

Synopsys Simif vC-2009.09.SP1. Linux 1CD

Synopsys Simif vB-2008.09 Sparc64 1CD

Synopsys Simif vB-2008.09 SparcOS5 1CD

Synopsys SmartModel Library v2009.06a Linux 1CD

Synopsys SmartModel Library v2009.06a Linux64 1CD

Synopsys.Sold.v2009.03.Linux 1CD

Synopsys SPW vE-2010.12 Win32 1CD

Synopsys SPW vE-2010.12 Linux 1CD

Synopsys ssd vA-2007.09 Linux 1DVD

Synopsys STARRC vK-2015.06 Linux64 1CD

Synopsys.Starrc.vH-2012.12.SP2.Linux32_64 2CD

Synopsys StarRCXT vD-2009.12 LinuxAMD64 1CD

Synopsys Spice Explorer 2012.06.SP1.WinALL 1CD

Synopsys.SpiceExplorer.vG-2012.06.SP1.Linux32_64 2CD

Synopsys Synplify vK-2015.09 Windows 1DVD

Synopsys Synplify vJ-2015.03 SP1 Windows 1DVD

Synopsys Synthesis Tools tool vD-2010.03 Linux 1CD

Synopsys Synthesis Tools tool vD-2010.03 LinuxAMD64 1CD

Synopsys TCAD Sentaurus vD-2010.03.Linux 1DVD

Synopsys TCAD Sentaurus vI-2013.13 LinuxAMD64 1DVD

Synopsys Tcad Taurus Medici vD-2010.03 Linux 1CD

Synopsys Tcad Taurus MD vC-2009.06 LinuxAMD64 1CD

Synopsys Tcad Taurus Tsuprem4 vD-2010.03 Linux 1CD

Synopsys Tcad Taurus TS4 vC-2009.06 LinuxAMD64 1CD

Synopsys TetraMAX Overlay with Synthesis(tx) vK-2015.06 Linux64 1CD

Synopsys TetraMax vJ-2014.09 SP3 Linux64 1CD

Synopsys TX vC-2010.03 SP2 Linux 1CD

Synopsys TX vC-2009.06 SP1 SUS32 1CD

Synopsys TX vC-2009.06 SP1 SUS64 1CD

Synopsys TX vC-2009.06 SP1 x86SOL32 1CD

Synopsys TX vC-2009.06 SP1 x86SOL64 1CD

Synopsys TetraMAX StandAlone(txs) vK-2015.06 Linux64 1CD

Synopsys TXS vC-2009.06 SP3 Linux 1CD

Synopsys TXS vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys TXS vC-2009.06 SP1 SUS32 1CD

Synopsys TXS vC-2009.06 SP1 SUS64 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL32 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL64 1CD

Synthesis Tools tool vZ-2007.03 SP1 Linux 1CD

Sentaurus vX-2005.10 SP1 Linux 1CD

Hspice 2005.09 英文用户手册

Hspice 语法手册

Synopsys Star-HSpice v2006 03 SP1 1CD(电路仿真软件)

Synopsys.Star-Rcxt vB-2008.12 SP2 Linux 1CD

Synopsys.Star-Rcxt vB-2008.12 SP2 LinuxAMD64 1CD

synopsys.Vera.vI-2014.03.Linux32_64 2CD

Synopsys Vera vD-2009.12 Linux32_64 2CD

Synopsys Vera v6.3.10 solaris 1CD

Synopsys Verdi3.vJ-201412.SP2.Linux32_64 2DVD

Synopsys Verdi3 vI-2014.03 Linux 1DVD

Synopsys.VCS.v6.0.1.WinNT_2k 1CD

Synopsys.VCS.vI-2014.03-2.Linux64 1CD

Synopsys VCS vG-2012.09 Linux32_64 2CD

Synopsys VCS Verification IP 2012.12 Linux 1CD

Synopsys VCS MX vJ-2014.12 SP2 Linux64 1DVD

Synopsys VCS-MX.vH-2014.03.Linux32_64 2DVD

Synopsys.2001.08.Core.Synthesis.for.linux 1CD

Synplicity Amplify v3.7 1CD(第一款为FPGA设计的物理综合产品)

SynpliCity Identify RTL Debugger v2.0.1 1CD

Synopsys Synplify Pro vH-2013.03 Window 1DVD

Synopsys Synplify vF-2012.03 Linux32_64 2DVD

Synplify Fpga vF-2010.09 Linux 2CD

Synplify DSP v3.6 1CD

Synplify.Premier.v9.61 Linux 1CD

Synplify.Premier.v9.6.2.with.Identify.v3.02 1CD(针对复杂可编程逻辑设计的功能强大的FPGA 综合工具,独有的特性和极快的运算速

度使它成为业界的最流行的也是最强力的综合工具,而且还附加了调试于优化功能)

Synplify Pro v9.2.2 Linux 1CD

Synplify v8.5 with Identify v2.3.2 Linux 1CD

Synplify ASIC v5.02 for win & linux & sun & unix 1CD

Taurus Medici vV-2003.12 linux 1CD

Virtio VPAI 2.0 Platform 1CD

Bosch Rexroth Group产品:

Bosch.Rexroth.Indraworks.v7.04-ISO 3CD(是一个简单易操作的工程环境,用于所有力士乐电子控制系统及驱动系统)

Bosch.Rexroth.WinStudio.v6.5.WinNT_2K 1CD(提供了制造执行系统(MES)和用于监控及性能监视功能的数据采集与监视控制系统(SCADA)

Intercept产品:

Intercept Pantheon 6.0.04B Win32 1CD(PCB/Hybrid/RF设计辅助软件)

Intercept Pantheon 6.0.04B Linux 1CD

Intercept Pantheon 6.0.04B Solars 1CD

SANDWORK DESIGN INC.产品:

Design Spice Explorer v2007.1 1CD

Design Spice Explorer v2003.1 Linux 1CD

Tanner产品:

Tanner.L-EDIT.pro.with.LVS.v10.0-ISO 1CD(IC设计验证系统软件)

Tanner.S-EDIT.v7.03 1CD(电路框架的制作和编辑工具)

Tanner.T-SPICE.Pro.v8.1(大规模模拟和混合信号IC的精确高效分析模拟软件)

Tanner EDA Tools v16.01 Win64 1DVD

TannerTools v16.3 Win64 1CD

Tanner Tools v15.01 1CD(集成电路设计环境)

AMTECH产品:

Amtech.v2006-ISO 1CD(强大的电气软件套装包括了电气设计、测试、检验、协同工作和快速单线缆计算等功能)

Amtech.ProDesign.NEC.v9.2.5-ISO 1CD(符合NEC及IEEEE标准设计与分析电子系统的功能强大的软件系统)

CIM-TEAM Inc.产品:

CIM-Team DDS-C R12 1CD(设计车间,机械建筑,采矿业以及发电厂的工程设计程序)

VANDERPLAATS R&D产品:

Valor Genesis v10.2 1CD

Valor Genesis v10.0 1CD

Valor Genesis v9.7 1CD

Valor Genesis v9.2c 1CD

Valor Genesis2000 v8.0a WinNT4_2K 1CD

Valor GeneSIS 2000 中文教程

Valor Enterprise 3000 v7.2.4 1CD(是为OEMs 和PCB 设计者开发的DFM软件。其实际上是一个虚拟的生产系统,

可以让OEM厂商模拟整个生产过程:从设计到生产的整个流程。可以优化设计,

减少修改次数,让你从快速的市场反应中获益,并且提高产品质量) Valor EnterPrise 3000 v7.2.4 Docs Addon 1CD

华笙v4.9 for WinXP 1CD

CADENAS产品:

Cadence.ADW.v16.60.015.Linux 1CD

Cadence Altos v12.12.000 Linux 1CD

Cadence.AMS.Methodology.Kit.6.12.Linux 7CD

Cadence ANLS v07.10.003 Linux 1CD

Cadence ASI v16.64.002 Win32_64 2DVD

Cadence ASI 16.63.000 Update Only Win32_64 2DVD

Cadence.ASI.v16.62.000.Update.Only.Linux 1DVD

Cadence ASI 16.62 Update Only Win64 1DVD

Cadence ASI v16.61 Update Only Win32_64 2DVD

Cadence ASSURA v6.15.04.12.017 Linux 2DVD

Cadence.Assura v4.10.002 Linux 5CD

Cadence Assura v4.10.006 Update Linux 3CD

Cadence Assura v4.12.004.615 Update Linux 4CD

Cadence Assura v3.13 for IC4.46 Linux-ISO 2CD(新一代深亚微米模拟和混合IC版图验证、寄生参数提取以及分辩率增强可制造性解决方案)

Cadence SPB OrCAD (Allegro SPB) v17.20.000-ISO 1DVD

Cadence SPB/OrCAD (Allegro SPB) v17.00-ISO 1DVD(电子电路设计软件)

Cadence Allegro and OrCAD (Including ADW) 17.00.001 Hotfix 1CD

Cadence SPB/OrCAD (Allegro SPB) v17.00.001.Hotfix.1 Windows 1CD

Cadence Allegro and OrCAD (Including ADW) v17.00.005 Hotfix 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60-ISO 5CD(电子电路设计软件)

Cadence SPB/OrCAD (Allegro SPB) v16.60.018 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.014 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.013 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.012 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.011 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.010 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.008 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.007 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.006 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.003 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.001 Update Only 1CD

Cadence OrCAD Library Builder & Documentation Editor v16.6 Win32 1CD

Cadence SPB/OrCAD (Allegro SPB) v17.00.0 Linux 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.30.019 Linux 3CD

Cadence OrCAD Capture CIS 9 实用教程1CD

Cadence OrCAD 问题集锦1CD

Cadence.Allegro.PCB.Design.v16.2-ISO 3CD(专业的电路板的设计软件,适合于一个全新项目的PCB 设计)

Cadence.Allegro.PCB.v16.20.014 Update Only 1CD

Cadence.BSIMProPlus.v5.1 1CD(提供了全套的解决方案,包括采用Virtuoso器件模型(BSIMProPlus)来提取

和调整硅的可靠性模型以及用UltraSim全芯片模拟器进行的全芯片可靠性模拟和分析)

Cadence C-to-Silicon Compiler (CtoS) Product v11.10 Linux 2CD

Cadence Ccopt 2012 Linux 1CD

Cadence.CICE.v05.01.000.Linux 1CD

Cadence Conformal v11.10.320 Linux 1CD

Cadence Conformal v8.1 Linux64 1CD

Cadense Conformal LEC v10.1 Linux 1CD

Cadence CTOS v13.20.200 Linux 1CD

Cadence.CTS v9.1 Linux 1CD

Cadence.EDI-ISR3.v13.23.000.Linux 1DVD

Cadence EDI v13.12.000 Linux 1DVD

Cadence EDI v12.0 Linux 6CD(即SOC-Encounter,完整的综合布局布线系统)

Cadence EMGR v08.02.001 Linux 1CD

Cadence Encounter RTL Compiler v9.10.100 Linux 1CD

Cadence Encounter timing system(ETS) v11.11.001 Linux 2DVD

Cadence Encounter Test(ET) v13.10.100 Linux 1DVD

Cadence.EXT.vv13.20.157.Linux 1CD

Cadence Kitsocv v08.20.003 Linux 3CD

Cadence KMC v04.14.000 Linux 1CD

Cadence KQV v05.13.002 Linux 1CD

Cadence PDK Automation System (PAS) Release v03.05.003 Linux 1CD(最新版PDK自动化系统) Cadence PDK Automation System (PAS) Release v03.05.003 Windows 1CD

Cadence PAS v3.1 Linux 1CD(PDK自动化系统)

Cadence.Pcell.PAS.v3.1.Linux 1CD

Cadence PSD 15.1-ISO 3CD(EDA开发工具包,它提供了从原理图设计输入、分析,PCB设计、PCB制造文件输出等一整套工具)

Cadence PVE v12.10.488 Linux 1DVD

Cadence PVS v10.12.155 Linux 1DVD

Cadence Physical Verification System(PVS) v10.1 Linux 1CD

Cadence Physical Verification System(PVS) v10.12.155 Update Only Linux 1DVD

Cadence SOCKIT v08.02.001 Linux 1CD

Cadence.RC.v12.22.000.Linux 1CD

Cadence RFKIT v8.1 Linux 4CD

Cadence RFSIPKT v07.02.001 Linux 1CD

Cadence.SPB.v16.3.Linux 5CD

Cadence SPMN v08.02.001 Linux 1CD

Cadence TSI v6.1 Linux 2CD

Cadence.TTI.v01.30.001.Linux 1CD

Cadence MMSIM v14.10.255 Linux 2DVD

Cadence MMSIM v13.1 Linux 5CD

Cadence MMSIM v12.10.317 Linux 7CD

Cadence MMSIM v11.10.445 Linux 2DVD

Cadence MMSIM v10.11.017 Update Linux 1DVD

Cadence MMSim v10.10.204 Linux 3CD

Cadence MMsim v7.11.071 Linux 6CD

Cadence MMsim v6.2 linux 7CD

Cadence MVS v12.11.465 Linux 1DVD

Cadence NEOCKT-03.04.011 Linux 1CD

Cadence IC Craftsman v11.241 1CD

Cadence IC Design Virtuoso v6.1.6 ISR8 Linux 6DVD

UofU.Digital.v1.2 for Cadence IC v5 (CDB) 1CD

UofU.Digital.v1.2 for Cadence IC v6 (OA) 1CD

Cadence IC5141 ISR200906100325 Linux 4CD(IC5141最新升级文件)

Cadence.ICADV.v12.10.020.Linux 1DVD

Cadence IFV v8.20.012 Linux 2CD

Cadence INCISIV 14.10.014 Linux 2DVD

Cadence INCISIV v13.20.002 Linux 1DVD

Cadence.Incisive.Enterprise.Simulator(IES) v8.2 Linux 1CD

Cadence InCyte Chip Estimator v03.04.008 WinALL 1CD

Cadence Innovus v15.10.000 Linux 1DVD

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1-ISO 1CD(逻辑设计与验证)Cadence.Logic.Design.and.VerifiCation(LDV).v5.1.Linux 2CD

Cadence Low Power Methodology Kit v08.02.001 Linux 3CD

Cadence iScape v4.21 Linux 1CD

Cadence IUS v5.4 Win32-ISO 1CD

Cadence Incisive Unified Simulator(IUS) v10.2 Linux 1DVD

Cadence.Allegro.v13.6-ISO 1CD(系统互联设计平台,此平台具有缩短PCB设计周期,显著提高生产效率的特点)

Cadence SEV v4.1 Linux 1CD

Cadence Sigrity 2015 Win64 1DVD

Cadence SPW v4.9 Linux 1CD

Cadence.SSV-ISR3.v13.23.000.Linux 1DVD

Cadence.SWI.v13.10.001.Linux 1CD

Cadence VSDE v4.1 ISR17 Linux 1CD

Cadence Generic PDK090 v3.7 Linux 1CD

Cadence Generic PDK

Cadence CONFRML v13.10.100 Linux 1CD

Cadence.VG.GNU.PACKAGE.2012.09.Linux 1DVD

Cadence VIPCAT v11.30.021 Linux 2DVD

Cadence ZYNQVP v11.10.055 Linux 1CD

Cadence.IC设计.全资料教材1CD

Allegro 14.2 中文教材

Allegro 15.X学习与使用(中文)

Cadence Allegro简易手册(中文版)

Cadence 使用参考手册(中文版)

CADence PCB设计中文教程

Ultra Librarian v7.5.114 1CD

ULTRA Librarian Gold v3.0.1034 1CD(程序库设计工具)

Conformal Constraint Designer v6.1 1CD(在给定设计问题下确保有效时序约束的产品) Specctra (Allegro PCB Router) 16.6 112 Win32 1CD

Cadence.Specctra.Router.v10.2 1CD(功能强大的PCB无网格自动布线器)Cadence.Specman.Elite.v5.0.Linux-ISO 1CD

Orcad Library Builder v16.6.62 1CD

SpringSoft产品:

Laker.v2015.03-1.Linux64 1CD

Laker 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol 1DVD

Laker v2011.06 Linux32 1CD

Laker v2011.06 Linux64 1CD

Laker 2009.12 P2 Linux 1CD

Laker 2009.12 P2 LinuxAMD64 1CD

Laker 32 v3 REDHAT9 1CD

Laker 31 v3p6a REDHAT72 1CD

Laker 32 v3p6 SOL7 1CD

Laker 32 v3p6 SOLARIS2 1CD

Laker 2009.12 P2 Symbol 1CD

Laker 2009.12 P2 Document 1CD

Laker 32 v3p6 LabBook

Laker Document 1CD(适用3.0版和更高版的用户手册)

Laker.ADP.v2015.03.Linux32_64 2CD

Laker AMS v6.1p4 WinALL 1CD(电路图设计与调试环境)

Laker AMS v6.1p4 Linux

Laker.OA.vJ-2014.09-SP1-4.Linux64 1DVD

ADP 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol & Xlib 1CD

ADP 21 v3p5 Linux 1CD

ADP 21 v3p5 LinuxAMD64 1CD

ADP 21 v3p5 REDHAT9 1CD

ADP 21 v3p5 SOL7 1CD

ADP 21 v3p5 SOLARIS2 1CD

ADP 21 v3p5 symbol 1CD

ADP 21 v3p5 Document 1CD

Intusoft产品:

ICAP/4 v8.1.6 for WinAll 1CD(电源仿真软件)

Intusoft Magnetics Designer v4.1.0 Build 350 1CD

Aegis产品:

Aegis.CircuitCAM.Suite.v6.0.2.2 1CD(生成设备程式、建模软件)

BoardMaster LPKF v5.1 Full 1CD

LPKF CircuitCAM 6.1.5 build 1159 1CD(一个高端成熟的电路板生产CAM软件) Circuitcam v5.0使用手册(中文)

Aucotec产品:

Aucotec ELCAD v7.8.0 Multilingual-ISO 1CD(ECAE系统,电子工程软件)

Altium产品:

Altium CircuitStudio 1.0.4 build 41779 1DVD

Altium Designer 16.0.8 build 354-ISO 1DVD

Altium Designer v16.0.6 Build 282-ISO 1DVD

Altium Designer v15.1.14 Win7_8 1DVD

Altium Designer 15.0.15 Build 41991-ISO 1DVD

Altium.Designer.v15.0.8.Multilingual-ISO 1DVD

Altium Designer 14.3.15 Build 35511 Multilingual-ISO 1DVD(电子产品开发系统)Altium CERN Library 2014 1CD(电气元件库)

Altium Designer v10.818.23272 with All Plugins, Examples, Libraries 1DVD(9.61 G) Altium Designer Winter 10 v10.600.22648 Win64-ISO 2DVD(电子产品开发系统)Altium Protel DXP v7.2.92.With.SP3 WinNT-ISO 1CD

Altium Vault 2.5.10 1CD

Protel DXP Altium v7.0 WinNT_2000_XP-ISO 1CD

Protel Dxp 2004 sp2-ISO( 完全安装版)

Protel DXP 2004 Sp4 1CD

Protel DXP 2004 Sp4 IntegratedLibraries 1CD

Protel DXP 2004 Sp3 集成库1CD

Protel DXP 2004汉化及工具

Protel 98-ISO 1CD (简体中文破解版)

Protel 99SE Sp6 1CD(简体中文版,含第二版)

Protel 99 正式版1CD

Protel 99 SE 的入门说明书(中文版)

Protel DXP Fpgalibraries 1CD

Protel DXP Trial Version 1CD

Protel DXP 电路设计及应用教程

Protel DXP 培训教材(中文)

P-CAD v2006.With.SP1-ISO 1CD(印制线路板设计软件)

P-CAD v2006.SP2 1CD

Simetrx/Simpis v4.2-ISO 1CD(混合信号电路仿真软件)

InduSoft Web Studio v7.1 SP3 1DVD(功能强大的自动化整合开发工具)

Tasking C166/ST10 v7.5 r2-ISO 1CD(嵌入式软件开发工具套件)

Tasking C166 v7.5 r2 1CD

Tasking C FOR 196_296 v6.0 R1 1CD

Tasking Dsp Suite v2.0r0 For Motorola Dsp5600X 1CD

FAMIC TECH INC产品:

Automation Studio P6 SR9 Win32-ISO 1DVD

Automation Studio 6.0.0.10932 Win32 1CD(电路设计、模拟和项目文件软件)

NEC产品:

EMCoS 2013 EM Simulation Suite 1DVD

include:

EMCoS Antenna VirtualLab 1.0

EMCoS PCB VLab 1.0

EMC Studio 7.0

EMCoS Antenna VLab 1.01 1CD

EMC Studio v7.0 1CD(电磁兼容分析软件)

NEC EMIStream v4.5001 1CD(EMC防真软件)

EM.Cube 2013.Win32_64 2CD

Remcom, Inc.产品:

XFDTD.Bio-Pro.v6.3.8.4.Win2k_XP 1CD(高频电磁分析模拟软体)

XFDTD v7.0 1CD(美国REMCOM公司开发的一款基于电磁数值计算方法FDTD的全波三维电磁仿真软件)

XFDTD v7.3.0.3 Win64 1CD

XGTD v2.1 1CD(电磁仿真和分析软件)

Remcom XFDTD XF7 7.3.0.3 Win64 1CD

CST产品:

CST STUDIO SUITE 2016 SP1 Win32_64-ISO 1DVD

CST.Studio.Suite.v2015.00.Win32_64-ISO 1DVD

CST Studio Suite 2015 SP6 Update Only 1CD

CST.Studio.Suite.v2014.Win32_64-ISO 1DVD

CST.Studio.Suite.v2014.SP6.Update.Only 1CD

CST.Studio.Suite.v2012.With.SP5.WinALL 1DVD

CST Studio Suite 2012 SP8 Update Only 1CD

CST Studio Suite 2012 SP7 Update Only 1CD

CST Studio Suite 2012 SP6 Update Only 1CD

CST.Studio.Suite.v2008.Linux.DVD-ISO 1DVD(完整版-全模块电磁仿真软件)

CST Microwave Studio v5.1.3-ISO 1CD(电磁仿真)

CST Design Studio v3.0 1CD(与CST Microwave Studio配套使用的设计数据/流程管理工具,用来支持与其他工具

交换数据以及分割设计数据和程序库化等作业)

CST.MicroStripes.2009.v8.0 1CD

CST.MicroStripes.2009.v8.0.x64 1CD

CST Em Studio v2.0 1CD(低频电磁场的分析和设计软件)

CST Mafia v4.1 1CD

APLAC SOLUTIONS产品:

PCselCAD v10.03 中文版-ISO 1CD(带正版数据库,机电-电气CAD绘图软件)

PC|SCHEMATIC Automation v17.02.256 1CD

Pcschematic.Automation.v14.0.2.1.Win32_64 1CD(专业电气绘图软件)

PCschematic ELautomation v9.0.6 正式免狗中文版1CD(带正版数据库压缩包) PCschematic ELautomation v9.0 1CD(英文版)

PCschematic (施耐德)元件库

PCschematic 完整教程

PL7 Pro v4.4 1CD

Schneider Electric SoMachine 4.1 SP1.2 Win64 1DVD(一款集成Vijeo-Designer软件的开放、高效的专业软件解决方案)

Schneider Electric SoMachine v4.1.0 Win32_64 1DVD

Schneider-Electric.Unity.Pro.XL.v7.0-ISO 1DVD(含简体中文版)

Schneider Electric Vijeo Citect v7.40 SP1 1DVD

Schneider Electric Vijeo Desiner v4.6-ISO 1CD(含简体中文版)

Sepam SFT2841 v10.0 1CD

IAR产品:

IAR EWAVR v5.3.02-ISO 1CD

IAR.Embedded.Workbench.for.8051.v8.30.3.Full 1CD

IAR.Embedded.Workbench.for.8051.MSC-51.v7.20D(嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)

IAR.EW430.320A 1CD(嵌入式工作台)

IAR.Embedded.Workbench.for.68HC12.v2.44A

IAR Embedded Workbench for ARM 7.50.2-ISO 1DVD

IAR.Embedded.Workbench.for.Atmel.AVR.v5.50.1 Full 1CD

IAR.Embedded.Workbench.for.Atmel.AVR32.v4.30F-ISO

IAR.Embedded.Workbench.for.Atmel.EWAVR.v4.20C.Full

IAR.Embedded.Workbench.for.Arm.Ewarm.v3.11A-ISO

IAR Embedded Workbench for AVR v6.12.1.Full 1CD

IAR Embedded Workbench for AVR32 v3.31.3 1CD

IAR.Embedded.Workbench.for.CR16C.v2.10A

IAR.Embedded.Workbench.for.Dallas.Semiconductor.Maxim.MAXQ.v1.13C

IAR.Embedded.Workbench.for.Dspic.v1.30A(整套的嵌入式开发环境,包括编辑、编译、连接、

相关主题
文本预览
相关文档 最新文档